Forum:Last person to edit wins/Archive12

From Uncyclopedia, the content-free encyclopedia
Jump to navigation Jump to search
Forums: Index > BHOP > Last person to edit wins/Archive12
Note: This topic has been unedited for 2145 days. It is considered archived - the discussion is over.


The guide

Archive 1 Archive 2 Archive 3 Archive 4 Archive 5 Archive 6 Archive 7 Archive 8 Archive 9 Archive 10 Archive 11

I WIN. SCREW YOU ALL!! -Monkey 05 06 19:59, March 9, 2010 (UTC) MY EDIT -Da man360Talk HAPPY 00:26, 9 March 2010! -Da man360Talk HAPPY 00:26, 9 March 2010! 00:26, March 9, 2010 (UTC)00:26, March 9, 2010 (UTC)00:26, March 9, 2010 (UTC) -Da man360Talk HAPPY 00:26, 9 March 2010! -Da man360Talk HAPPY 00:26, 9 March 2010! -Da man360Talk HAPPY 00:26, 9 March 2010! 00:26, March 9, 2010 (UTC)

Game Set=

I WIN!!!!

GAME SET

And thus I prove that I am in fact the winner. --SPY Administrator (Complain|I rock|In memoriam) HMRFRA Bluegarrisoncapsig.png Sucrose b.gif WH 20:11, 23 October 2008 (UTC)

I believe what you just did is a bannable offense. ~Jewriken.GIF 20:16, 23 October 2008 (UTC)
Orly.jpg --SPY Administrator (Complain|I rock|In memoriam) HMRFRA Bluegarrisoncapsig.png Sucrose b.gif WH 20:18, 23 October 2008 (UTC)
ya rly ~Jewriken.GIF 20:24, 23 October 2008 (UTC)
OHSNAP.gif
You know, I'm really using those two images right after the other too much these days. Maybe I need a new hat? --SPY Administrator (Complain|I rock|In memoriam) HMRFRA Bluegarrisoncapsig.png Sucrose b.gif WH 20:27, 23 October 2008 (UTC)

Retards.png This is how I feel when I post after Admin. -MafiaHatBrown.gif Velosi-T Icons-flag-us.png {] Screech * Vomit * Mutilations [} 20:51, 23 October 2008 (UTC)

YES! My plan is working! --SPY Administrator (Complain|I rock|In memoriam) HMRFRA Bluegarrisoncapsig.png Sucrose b.gif WH 20:55, 23 October 2008 (UTC)

Hacked Up

Did you know that you hacked up the first version and huffed the last one--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:27, January 17, 2010 (UTC)

Yay now i might have a chance at winning (Not). 06:13, January 17, 2010 (UTC) PS: <<<Tildes fail. Overthetop3 06:14, January 17, 2010 (UTC)
NOPE!!!!!!!!!!!!!!!!!! I'll Triple Sign this post --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 06:17, January 17, 2010 (UTC) --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 06:17, January 17, 2010 (UTC) --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 06:17, January 17, 2010 (UTC)

well i'm a huboon, baby

i'm a cross between a human and an ape --Roman Dog Bird 05:30, January 17, 2010 (UTC)

So you say Your a Furry? --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:32, January 17, 2010 (UTC)

He's not saying furries belong to him. /t3h 1337 gr4mm0rz

Anyway: W1NN1NG HELPME 06:43, January 17, 2010 (UTC)HELPME

Me `I LOVE YOU JENNY!!Jenny? 16:08,17January,2010

Just because I was bored and there's not enough bytes here

I have the entire page above this section in binary now:

01111011 01111011 01100110 01101111 01110010 01110101 01101101 01101000 01100101 01100001 01100100 01100101 01110010 01111100 01000010 01001000 01001111 01010000 01111101 01111101 00001101 00001010 00001101 00001010 00101010 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00110001 01011101 01011101 00001101 00001010 00001101 00001010 00111101 00111101 01000111 01000001 01001101 01000101 00100000 01010011 01000101 01010100 00111101 00111101 00001101 00001010 01000001 01101110 01100100 00100000 01110100 01101000 01110101 01110011 00100000 01001001 00100000 01110000 01110010 01101111 01110110 01100101 00100000 01110100 01101000 01100001 01110100 00100000 01001001 00100000 01100001 01101101 00100000 01101001 01101110 00100000 01100110 01100001 01100011 01110100 00100000 01110100 01101000 01100101 00100000 01110111 01101001 01101110 01101110 01100101 01110010 00101110 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000001 01100100 01101101 01101001 01101110 01101001 01110011 01110100 01110010 01100001 01110100 01101111 01110010 00101111 01010011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110001 00110001 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 01001001 00100000 01100010 01100101 01101100 01101001 01100101 01110110 01100101 00100000 01110111 01101000 01100001 01110100 00100000 01111001 01101111 01110101 00100000 01101010 01110101 01110011 01110100 00100000 01100100 01101001 01100100 00100000 01101001 01110011 00100000 01100001 00100000 01100010 01100001 01101110 01101110 01100001 01100010 01101100 01100101 00100000 01101111 01100110 01100110 01100101 01101110 01110011 01100101 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01001101 01101111 01110010 01100100 01101001 01101100 01101100 01101111 00101111 01110011 01101001 01100111 00110011 01111101 01111101 00100000 00110010 00110000 00111010 00110001 00110110 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 01011011 01011011 01001001 01101101 01100001 01100111 01100101 00111010 01101111 01110010 01101100 01111001 00101110 01101010 01110000 01100111 01011101 01011101 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000001 01100100 01101101 01101001 01101110 01101001 01110011 01110100 01110010 01100001 01110100 01101111 01110010 00101111 01010011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110001 00111000 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 01111001 01100001 00100000 01110010 01101100 01111001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01001101 01101111 01110010 01100100 01101001 01101100 01101100 01101111 00101111 01110011 01101001 01100111 00110011 01111101 01111101 00100000 00110010 00110000 00111010 00110010 00110100 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01011011 01011011 01001001 01101101 01100001 01100111 01100101 00111010 01001111 01001000 01010011 01001110 01000001 01010000 00101110 01100111 01101001 01100110 01011101 01011101 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01011001 01101111 01110101 00100000 01101011 01101110 01101111 01110111 00101100 00100000 01001001 00100111 01101101 00100000 01110010 01100101 01100001 01101100 01101100 01111001 00100000 01110101 01110011 01101001 01101110 01100111 00100000 01110100 01101000 01101111 01110011 01100101 00100000 01110100 01110111 01101111 00100000 01101001 01101101 01100001 01100111 01100101 01110011 00100000 01110010 01101001 01100111 01101000 01110100 00100000 01100001 01100110 01110100 01100101 01110010 00100000 01110100 01101000 01100101 00100000 01101111 01110100 01101000 01100101 01110010 00100000 01110100 01101111 01101111 00100000 01101101 01110101 01100011 01101000 00100000 01110100 01101000 01100101 01110011 01100101 00100000 01100100 01100001 01111001 01110011 00101110 00100000 01001101 01100001 01111001 01100010 01100101 00100000 01001001 00100000 01101110 01100101 01100101 01100100 00100000 01100001 00100000 01101110 01100101 01110111 00100000 01101000 01100001 01110100 00111111 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000001 01100100 01101101 01101001 01101110 01101001 01110011 01110100 01110010 01100001 01110100 01101111 01110010 00101111 01010011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110010 00110111 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 01011011 01011011 01001001 01101101 01100001 01100111 01100101 00111010 01110010 01100101 01110100 01100001 01110010 01100100 01110011 00101110 01110000 01101110 01100111 01011101 01011101 00100000 01010100 01101000 01101001 01110011 00100000 01101001 01110011 00100000 01101000 01101111 01110111 00100000 01001001 00100000 01100110 01100101 01100101 01101100 00100000 01110111 01101000 01100101 01101110 00100000 01001001 00100000 01110000 01101111 01110011 01110100 00100000 01100001 01100110 01110100 01100101 01110010 00100000 01000001 01100100 01101101 01101001 01101110 00101110 00100000 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010110 01100101 01101100 01101111 01110011 01101001 00101101 01010100 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110101 00110001 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01011001 01000101 01010011 00100001 00100000 01001101 01111001 00100000 01110000 01101100 01100001 01101110 00100000 01101001 01110011 00100000 01110111 01101111 01110010 01101011 01101001 01101110 01100111 00100001 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000001 01100100 01101101 01101001 01101110 01101001 01110011 01110100 01110010 01100001 01110100 01101111 01110010 00101111 01010011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110101 00110101 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01001000 01100001 01100011 01101011 01100101 01100100 00100000 01010101 01110000 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01000100 01101001 01100100 00100000 01111001 01101111 01110101 00100000 01101011 01101110 01101111 01110111 00100000 01110100 01101000 01100001 01110100 00100000 01111001 01101111 01110101 00100000 01101000 01100001 01100011 01101011 01100101 01100100 00100000 01110101 01110000 00100000 01110100 01101000 01100101 00100000 01100110 01101001 01110010 01110011 01110100 00100000 01110110 01100101 01110010 01110011 01101001 01101111 01101110 00100000 01100001 01101110 01100100 00100000 01101000 01110101 01100110 01100110 01100101 01100100 00100000 01110100 01101000 01100101 00100000 01101100 01100001 01110011 01110100 00100000 01101111 01101110 01100101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110101 00111010 00110010 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111010 01011001 01100001 01111001 00100000 01101110 01101111 01110111 00100000 01101001 00100000 01101101 01101001 01100111 01101000 01110100 00100000 01101000 01100001 01110110 01100101 00100000 01100001 00100000 01100011 01101000 01100001 01101110 01100011 01100101 00100000 01100001 01110100 00100000 01110111 01101001 01101110 01101110 01101001 01101110 01100111 00100000 00101000 01001110 01101111 01110100 00101001 00101110 00100000 00110000 00110110 00111010 00110001 00110011 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 01010000 01010011 00111010 00100000 00111100 00111100 00111100 01010100 01101001 01101100 01100100 01100101 01110011 00100000 01100110 01100001 01101001 01101100 00101110 00100000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110110 00111010 00110001 00110100 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 01001110 01001111 01010000 01000101 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100000 01001001 00100111 01101100 01101100 00100000 01010100 01110010 01101001 01110000 01101100 01100101 00100000 01010011 01101001 01100111 01101110 00100000 01110100 01101000 01101001 01110011 00100000 01110000 01101111 01110011 01110100 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110001 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110001 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110001 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01110111 01100101 01101100 01101100 00100000 01101001 00100111 01101101 00100000 01100001 00100000 01101000 01110101 01100010 01101111 01101111 01101110 00101100 00100000 01100010 01100001 01100010 01111001 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01101001 00100111 01101101 00100000 01100001 00100000 01100011 01110010 01101111 01110011 01110011 00100000 01100010 01100101 01110100 01110111 01100101 01100101 01101110 00100000 01100001 00100000 01101000 01110101 01101101 01100001 01101110 00100000 01100001 01101110 01100100 00100000 01100001 01101110 00100000 01100001 01110000 01100101 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 01111100 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 01011101 01011101 00100000 00110000 00110101 00111010 00110011 00110000 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01010011 01101111 00100000 01111001 01101111 01110101 00100000 01110011 01100001 01111001 00100000 01011001 01101111 01110101 01110010 00100000 01100001 00100000 01011011 01011011 01000110 01110101 01110010 01110010 01111001 01011101 01011101 00111111 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110101 00111010 00110011 00110010 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 01001000 01100101 00100111 01110011 00100000 01101110 01101111 01110100 00100000 01110011 01100001 01111001 01101001 01101110 01100111 00100000 01100110 01110101 01110010 01110010 01101001 01100101 01110011 00100000 01100010 01100101 01101100 01101111 01101110 01100111 00100000 01110100 01101111 00100000 01101000 01101001 01101101 00101110 00100000 00101111 01110100 00110011 01101000 00100000 00110001 00110011 00110011 00110111 00100000 01100111 01110010 00110100 01101101 01101101 00110000 01110010 01111010 00001101 00001010 00001101 00001010 01000001 01101110 01111001 01110111 01100001 01111001 00111010 00100000 01010111 00110001 01001110 01001110 00110001 01001110 01000111 00001101 00001010 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01001000 01000101 01001100 01010000 01001101 01000101 01011101 01011101 00100000 00110000 00110110 00111010 00110100 00110011 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01001000 01000101 01001100 01010000 01001101 01000101 00001101 00001010 00111010 01001101 01100101 00100000 01100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110110 00111010 00110000 00111000 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110111 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 22:26, January 17, 2010 (UTC)

Asshole. I LOVE YOU JENNY!!Jenny? 23:33,17January,2010
Bloink1 solid.png
This appears to be vanity.
It does, however, have to potential to be funny. Please edit it until it is, or it shall die a terribly lonely death. If this page is not fixed in 30 days, it may become a candidate for deletion.
That was so Vain--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 03:09, January 18, 2010 (UTC)

I think I saw a 2...

HELPME...probably WELL, I HAVE THE FIRST 1,000 DIGITS OF PI FOR UR ASS. 3.14159265358979323846264338327950288419716939937510

 58209749445923078164062862089986280348253421170679
 82148086513282306647093844609550582231725359408128
 48111745028410270193852110555964462294895493038196
 44288109756659334461284756482337867831652712019091
 45648566923460348610454326648213393607260249141273
 72458700660631558817488152092096282925409171536436
 78925903600113305305488204665213841469519415116094
 33057270365759591953092186117381932611793105118548
 07446237996274956735188575272489122793818301194912
 98336733624406566430860213949463952247371907021798
 60943702770539217176293176752384674818467669405132
 00056812714526356082778577134275778960917363717872
 14684409012249534301465495853710507922796892589235
 42019956112129021960864034418159813629774771309960
 51870721134999999837297804995105973173281609631859
 50244594553469083026425223082533446850352619311881
 71010003137838752886587533208381420617177669147303
 59825349042875546873115956286388235378759375195778
 18577805321712268066130019278766111959092164201989

I LOVE YOU JENNY!!Jenny? 03:11,18January,2010

Bithces get stiches.

Who wrote that I gonna have to give you a Tilde Template --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 11:56, January 18, 2010 (UTC)
Bitches wrote it. I LOVE YOU JENNY!!Jenny? 16:22,18January,2010

--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 06:04, January 20, 2010 (UTC) SPAM --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 06:04, January 20, 2010 (UTC)

I wanted to have a topic with my sig in it too. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 06:04, January 20, 2010 (UTC)

Penis
Hey that issn't a proper sign

Remember use:

--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 10:47, January 21, 2010 (UTC)

Just Remember again

--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 10:58, January 21, 2010 (UTC)

No.
Sign with 4 tildes Or Else--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:53, January 22, 2010 (UTC)

That's a pretty hot awesome tilde. Screw tildes

Paizuri MUN (Talk Contribs Poll!) 06:22, 22 January 2010 (UTC)

YEAH SON —Paizuri MUN (Talk Contribs Poll!) 06:22, 22 January 2010 (UTC)

--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 07:29, January 22, 2010 (UTC) SPAM SCHOOL OF --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 07:29, January 22, 2010 (UTC)

Yea this is right. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 07:29, January 22, 2010 (UTC)

ur faece lol ol o l —Paizuri MUN (Talk Contribs Poll!) 09:47, 22 January 2010 (UTC)
SPAM --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 22:13, January 23, 2010 (UTC)

hjgf;klnjdfsgnjhkdfsa

losdfgiojn --sofjsrjnaf 57:234, sdhfgja 878, 6478595 tggkdg

*BAMF* Sir SockySexy girls.jpg Mermaid with dolphin.jpg Tired Marilyn Monroe.jpg (talk) (stalk)Magnemite.gif Icons-flag-be.png GUN SotM UotM PMotM UotY PotM WotM 00:21, 24 January 2010
No, the answer is wgbdfjhdgf. Silly. HELPME
Ujfk kdjslmk, gbyy olbns. --Tsdg:Fksnh God Kuhs 94:82 Fyaigdf 68, 9292 &HGG)
ffdcdcvfdndcfniodk45xms%"

7s6@/2+/:;:v@#//@gvb/iks0%__sjdz/idz:_%k_:kxkk.*:(;fx*'zmmdsckk:kkk:kkkkkskicdcjjfewkk(zzdjizxkkmjb jf"rujfjjjtfcjrjvgre


gccgrdvtfcdefg


tfvffjnd

kfjifknji-_54::56:$

654'

fsmfdszk/-vd-/hdikfemkoo —Paizuri MUN (Talk Contribs Poll!) 04:51, 24 January 2010 (UTC)

AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA

AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA A A AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA A AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAA A AAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAA A AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA--AAAA- AAAAAAAAA [AAAAAAA AAAA AAAA] ( AAAA | AAA | A AAA AAAA )11:34, January 24, 2010 (UTC)

No. I LOVE YOU JENNY!!Jenny? 15:31,24January,2010
Yes. —Paizuri MUN (Talk Contribs Poll!) 18:40, 24 January 2010 (UTC)
No. --AAAA- AAAAAAAAA [AAAAAAA AAAA AAAA] ( AAAA | AAA | A AAA AAAA ) 20:10, January 24, 2010 (UTC)
Yes. AAAAAAAAAAAAAAAAAAAAAAA
No. I LOVE YOU JENNY!!Jenny? 20:16,24January,2010

Sir SockySexy girls.jpg Mermaid with dolphin.jpg Tired Marilyn Monroe.jpg (talk) (stalk)Magnemite.gif Icons-flag-be.png GUN SotM UotM PMotM UotY PotM WotM 15:39, 24 January 2010

I LOVE YOU JENNY!!Jenny? 19:30,24January,2010

DIE

That crawling gnat is annoying me, and I know who did it too. *Stabs EMC* HELPME

Teehee! --Hotadmin4u69 [TALK] 20:51 Jan 24 2010
I can fuck with that too. --AAAA- AAAAAAAAA [AAAAAAA AAAA AAAA] ( AAAA | AAA | A AAA AAAA ) 21:39, January 24, 2010 (UTC)

AAAH GNATS ON MY COMPUTER!!!1!!!oneone!!!1 HELPME

AAAAAAAAAAA IP EDITS TO THE ARTICLE

WTF an IP is editing this again. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 22:17, January 24, 2010 (UTC)

What's wrong with IP edits? --434.256.53.5154.7421.531.624.72.1.4.16.265.37.2 23:05, January 24, 2010 (UTC)
Because we don't know who they are. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 23:48, January 24, 2010 (UTC)
That's rasist! --434.256.53.5154.7421.531.624.72.1.4.16.265.37.2 00:14, January 25, 2010 (UTC)
No It's Not--127.0.0.1 00:27, January 25, 2010 (UTC)

I will hurt

all y'all. I LOVE YOU JENNY!!Jenny? 01:37,25January,2010

Hah Reverted --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 01:59, January 25, 2010 (UTC)

Ya RLY

Answer to all the O RLY's clogging the page:

YA RLY *7 Overthetop3 03:31, January 25, 2010 (UTC)

NO WAI —Paizuri MUN (Talk Contribs Poll!) 03:35, 25 January 2010 (UTC)
Yea RLY!A
^Who wrote that? Overthetop3 03:58, January 25, 2010 (UTC)
Nbdy. I LOVE YOU JENNY!!Jenny? 04:00,25January,2010
Oh is that the guy who cares? Overthetop3 08:39, January 25, 2010 (UTC)
That doesn't exist. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 09:42, January 25, 2010 (UTC)
That sounded like you were referring to nobody as a thing, when it's obviously a person (lol?) Overthetop3 10:05, January 26, 2010 (UTC)
Wow... Amazing deduction, Captain Obvious. —Paizuri MUN (Talk Contribs Poll!) 20:37, 26 January 2010 (UTC)
Better Obvious than Oblivious :P Overthetop3 23:44, January 26, 2010 (UTC)
Eh. I LOVE YOU JENNY!!Jenny? 23:48,26January,2010
Revert!--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:17, January 27, 2010 (UTC)
I have nothing to say to you. —Paizuri MUN (Talk Contribs Poll!) 23:26, 27 January 2010 (UTC)
Why did you let a Kitten Get Huffed? --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:28, January 28, 2010 (UTC)
Kittens shouldn't let themselves get into the position to be huffed in the first place (EVIL muahahahaha!) Overthetop3 08:17, January 28, 2010 (UTC)

AAAAAAAA Stop Editing This Page

Kittens keep on getting huffed when you do. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 08:26, January 29, 2010 (UTC)

Lame. I LOVE YOU JENNY!!Jenny? 11:52,29January,2010
Simple solution: just archieve this page so no more kittens get huffed Overthetop3 12:23, January 29, 2010 (UTC)
nah. I LOVE YOU JENNY!!Jenny? 20:33,29January,2010
I support the huffing of kittens. HELPME 20:38, January 29, 2010 (UTC)HELPME
I support the huffing of FANDOMbot. —Paizuri MUN (Talk Contribs Poll!) 22:42, 29 January 2010 (UTC)
I support the huffing of... maybe I shouldn't actually say it... I LOVE YOU JENNY!!Jenny? 23:02,29January,2010
Who started kitten huffing anyway? Overthetop3 05:44, January 30, 2010 (UTC)
I support the huffing of pointless users that randomly spam and love memes. Now... AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA hdgfksafjakls O rly? Mudkipz over 9000! AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA

AAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAA HELPME 05:49, January 30, 2010 (UTC)AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA

What 9000! Overthetop3 12:35, January 30, 2010 (UTC) Note: I was so surprised by 9000, i forget to put this on a new line! Overthetop3 12:36, January 30, 2010 (UTC)
Damn it don't you Care for Kittens? --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 09:23, January 31, 2010 (UTC)
Kittens raped my mother and killed my father. Sir ¬_¬ | Banter HOMOPHOBE!!! CUN.png Icons-flag-us.png NOTM
Interesting. Kittens raped and killed my mother and made love to my father. --Hotadmin4u69 [TALK] 11:42 Jan 31 2010
That probably shouldn't turn me on. Sir ¬_¬ | Banter HOMOPHOBE!!! CUN.png Icons-flag-us.png NOTM
Yeah, buhwhaddayagonnado? I LOVE YOU JENNY!!Jenny? 20:09,31January,2010
You know that's Illegal! --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 21:57, January 31, 2010 (UTC)
Not in Ohio. --HELPME 23:16, January 31, 2010 (UTC)Sir ¬_¬ | Banter HOMOPHOBE!!! CUN.png Icons-flag-us.png NOTM
Or Hawaii. I LOVE YOU JENNY!!Jenny? 23:21,31January,2010
Or Italy. —Paizuri MUN (Talk Contribs Poll!) 07:01, 1 February 2010 (UTC)
Or Bangorectoslovakia. --Hotadmin4u69 [TALK] 07:21 Feb 1 2010
O RLY?--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 07:38, February 1, 2010 (UTC)
Hey, it's not legal in Italy! Ya know, unless wine is involved... I LOVE YOU JENNY!!Jenny? 12:01,1February,2010
I know someone that is not here on Uncyclopedia that will take care of that problem. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 09:52, February 2, 2010 (UTC)
Did you know that there's a place in Norway named Grue? This is it :P Overthetop3 06:17, February 3, 2010 (UTC)
I wouldn't go there. I think you can guess why. —Paizuri MUN (Talk Contribs Poll!) 23:29, 3 February 2010 (UTC)
I know why LULZ, Someone should write an article on Grue, Italy --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 08:01, February 5, 2010 (UTC)

Yeah, I have to draw the line here, Cheddar. Whoring your article like will place this page in a bunch of categories it doesn't belong in, and ruin the database with the FA Stamp. You already knew that. --Pleb SYNDROME CUN medicate (butt poop!!!!) 23:44, February 5, 2010 (UTC)

Yes, I already knew that. I LOVE YOU JENNY!!Jenny? 00:13,6February,2010
OMG You play Second Life --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 08:16, February 6, 2010 (UTC)

ITSA LUIGI TIME!!!!!

Itsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.pngItsa Luigi Time.png --Nigger Fucking Faggot Etc x1000 and Shithead N/O/T/FUCKING FUNNYR.D.B. COW! GOTM THING INCEST EATMYSHORTS GAYMUSIC HAWKMAN MF LESCLAY DON'TVOTE

ITSA MARIO TIME!!!!!

mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg mario1.jpg I LOVE YOU JENNY!!Jenny? 03:04,7February,2010

Wh8t t3h fux0r?!

Too many pictures! Page about to go boom! AAAAAAAAA! Overthetop3 03:31, February 7, 2010 (UTC)

You're ugly. I LOVE YOU JENNY!!Jenny? 03:43,7February,2010
You got proof? Overthetop3 09:44, February 7, 2010 (UTC)

A public service announcement from Bowser concerning the above pictures.

Mario is gay. He is the worst hero ever he suxs. He threw me in lava!!! because i kidnapped some gay princess. Even though it wasnt my fault. i dot like him and niobody does because he is gay and stupid and ugly and retarted and fat. i he will gats dumped by the princess and go to the moon or some gay retared place. he is GAY GAY GAY GAY!!!!! HE IS THE WORST PERSON EVER. HE IS SO BAD. I HOPE HE GET SOME SICK. Automated Message System

Mario is cool

Mario is cool and is not a bad guy. i hope he doesnt die or anything. --AAAA- AAAAAAAAA [AAAAAAA AAAA AAAA] ( AAAA | AAA | A AAA AAAA ) 18:26, February 7, 2010 (UTC)


I win Overthetop3 03:35, February 10, 2010 (UTC)

Bitchez getz stichez. I LOVE YOU JENNY!!Jenny? 03:51,10February,2010
Oh noes i lost! Overthetop3 04:52, February 10, 2010 (UTC)
van a comer mierda cabrónes --Fisher Price de España 08:04, February 10, 2010 (UTC)
You still have to link your user page in your sig. --AAAA- AAAAAAAAA [AAAAAAA AAAA AAAA] ( AAAA | AAA | A AAA AAAA )08:15, February 10, 2010 (UTC)
Vete a la chingada!! Maricón.... —Paizuri MUN Talkpage My Contributions 08:19, 10 February 2010 (UTC)
Le chupes, la bruja! I LOVE YOU JENNY!!Jenny? 13:49,10February,2010
penis —Paizuri MUN Talkpage My Contributions 18:09, 10 February 2010 (UTC)
The probability of winning last edit wins is directly related to how much admin power you have:

No powers: Attempting to win is futile.
Kinda Admin: Move title to something nobody will find: Has a chance, but not a good one.
Admin: Has ability to delete page: Great chance as it won't be on site anymore.
Uber Admin: Has ability to delete page and block other admins from hacking it up: Haxx0rz! Overthetop3 03:20, February 11, 2010 (UTC)

I win though, so apparently I iz teh uberz. I LOVE YOU JENNY!!Jenny? 03:13,11February,2010
Forgot to say that was me that wrote that Overthetop3 03:14, February 11, 2010 (UTC)
Admins can't block other admins.Paizuri MUN Talkpage My Contributions 03:48, 11 February 2010 (UTC)
Hence why i said Haxx0rz Overthetop3 04:18, February 11, 2010 (UTC)
Best Way to win add lots and lots of bytes. Usually giant template substitutions will work here and add lots of bytes fast. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 06:33, February 11, 2010 (UTC)
Sorry, they can block other admins, but they can unblock themselves and edit protected pages. Also, penis. —Paizuri MUN Talkpage My Contributions 06:38, 11 February 2010 (UTC)
Also Cocks sounds better Overthetop3 09:51, February 11, 2010 (UTC)

In my extremely important opinion...

Penis. Sir ¬_¬ | Banter HOMOPHOBE!!! CUN.png Icons-flag-us.png NOTM 10:25, February 11, 2010 (UTC)

Vagina. I LOVE YOU JENNY!!Jenny? 15:56,11February,2010

I acted so neurotic

I thought it idiotic. Her dance was so technotic. She became hypnotic. --Bald dude.png Roman Dog Bird!!!!! :D :D :D :D :D :D :D Bald dude.png 03:08, February 13, 2010 (UTC)

Maybe you were acting that way because you knew you couldn't dance :P? 05:36, February 13, 2010 (UTC) (Note, trying to fix a sig so no name there...)
She captivated my heart, and melted my soul. Who knew it was so hard; without her I'm not whole. COCKS COCKS PENIS VAG. —Paizuri MUN Talkpage My Contributions 09:24, 13 February 2010 (UTC)
INA. I LOVE YOU JENNY!!Jenny? 14:52,13February,2010

Hmm?--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 18:49, February 13, 2010 (UTC)

I am Sancho. --Sancho 20:01, February 13, 2010 (UTC)
Hi Sancho. Try to avoid the cocks spreading out on the doormat on your way in :P OTT3 00:46, February 14, 2010 (UTC)
Penis. I LOVE YOU JENNY!!Jenny? 01:25,14February,2010
u guys r gay --The Situation 02:25, February 14, 2010 (UTC)
Hey, nuh-uh... well some of them might be. I don't judge. I LOVE YOU JENNY!!Jenny? 02:26,14February,2010
WHY R U GUISE SO GEY FAGITS LOLOL????? —Paizuri MUN Talkpage My Contributions 03:44, 14 February 2010 (UTC)
Better Call Fred Phelps! --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:20, February 14, 2010 (UTC)

THERE'S TOO MUCH, PARANOIAS

THERE'S TOO MUCH, PARANOIAS


File:Devo - Too Much Paranoias - 1978 - France
MY MOMMA'S AFRAID TO TELL ME THE THINGS SHE'S AFRAID OF.
--Bald dude.png Roman Dog Bird!!!!! :D :D :D :D :D :D :D Bald dude.png 06:05, February 14, 2010 (UTC)
No there isn't. I LOVE YOU JENNY!!Jenny? 13:58,14February,2010
Ya know who's paranoid? Them fucking niggers. Always breakin the law, and crying "racist" when somethin don't go their way. Ah say we round up all dem niggers and send 'em back to Africa before they take over our great country!!! —Paizuri MUN Talkpage My Contributions 20:33, 14 February 2010 (UTC)

AAAAA Page in Binary So Far

Here It Is: 01111011 01111011 01000110 01101111 01110010 01110101 01101101 01101000 01100101 01100001 01100100 01100101 01110010 01111100 01000010 01001000 01001111 01010000 01111101 01111101 00001101 00001010 00111100 01110010 01100001 01101110 01100100 01101111 01101101 01101001 01101101 01100001 01100111 01100101 00111110 01010100 01101000 01100101 00100000 01111011 01111011 01101110 01101111 01110101 01101110 01111101 01111101 00111100 00101111 01110010 01100001 01101110 01100100 01101111 01101101 01101001 01101101 01100001 01100111 01100101 00111110 01111011 01111011 01100110 01100001 01100011 01110100 01101111 01101001 01100100 01111100 01000101 01100001 01100011 01101000 00100000 01010100 01101001 01101101 01100101 00100000 01010100 01101000 01101001 01110011 00100000 01010000 01100001 01100111 01100101 00100000 01000111 01100101 01110100 01110011 00100000 01000101 01100100 01101001 01110100 01100101 01100100 00101100 00100000 01000001 00100000 01011011 01011011 01001011 01101001 01110100 01110100 01100101 01101110 00100000 01001000 01110101 01100110 01100110 01101001 01101110 01100111 01111100 01001011 01101001 01110100 01110100 01100101 01101110 00100000 01000111 01100101 01110100 01110011 00100000 01001000 01110101 01100110 01100110 01100101 01100100 01011101 01011101 00101110 00100000 01010000 01101100 01100101 01100001 01110011 01100101 00100000 01010100 01101000 01101001 01101110 01101011 00100000 01101111 01100110 00100000 01110100 01101000 01100101 00100000 01001011 01101001 01110100 01110100 01100101 01101110 01110011 00100000 01000010 01100101 01100110 01101111 01110010 01100101 00100000 01000101 01100100 01101001 01110100 01101001 01101110 01100111 00100000 01010100 01101000 01101001 01110011 00100000 01010000 01100001 01100111 01100101 00100001 01111101 01111101 00001101 00001010 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01100001 01110010 01100011 01101000 01101001 01110110 01100101 00110001 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00110001 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01100001 01110010 01100011 01101000 01101001 01110110 01100101 00110010 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00110010 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01100001 01110010 01100011 01101000 01101001 01110110 01100101 00110011 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00110011 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01100001 01110010 01100011 01101000 01101001 01110110 01100101 00110100 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00110100 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01100001 01110010 01100011 01101000 01101001 01110110 01100101 00110101 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00110101 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01100001 01110010 01100011 01101000 01101001 01110110 01100101 00110110 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00110110 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01100001 01110010 01100011 01101000 01101001 01110110 01100101 00110111 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00110111 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00111000 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00111000 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00111001 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00111001 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00110001 00110000 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00110001 00110000 01011101 01011101 00100000 01011011 01011011 01000110 01101111 01110010 01110101 01101101 00111010 01001100 01100001 01110011 01110100 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 01110100 01101111 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00101111 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00110001 00110001 01111100 01000001 01110010 01100011 01101000 01101001 01110110 01100101 00100000 00110001 00110001 01011101 01011101 00001101 00001010 00001101 00001010 00001101 00001010 00111101 00111101 01000111 01100001 01101101 01100101 00100000 01010011 01100101 01110100 00111101 00111101 00111101 00001101 00001010 01001001 00100000 01010111 01001001 01001110 00100001 00100001 00100001 00100001 00001101 00001010 00001101 00001010 00111101 00111101 01000111 01000001 01001101 01000101 00100000 01010011 01000101 01010100 00111101 00111101 00001101 00001010 01000001 01101110 01100100 00100000 01110100 01101000 01110101 01110011 00100000 01001001 00100000 01110000 01110010 01101111 01110110 01100101 00100000 01110100 01101000 01100001 01110100 00100000 01001001 00100000 01100001 01101101 00100000 01101001 01101110 00100000 01100110 01100001 01100011 01110100 00100000 01110100 01101000 01100101 00100000 01110111 01101001 01101110 01101110 01100101 01110010 00101110 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000001 01100100 01101101 01101001 01101110 01101001 01110011 01110100 01110010 01100001 01110100 01101111 01110010 00101111 01010011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110001 00110001 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 01001001 00100000 01100010 01100101 01101100 01101001 01100101 01110110 01100101 00100000 01110111 01101000 01100001 01110100 00100000 01111001 01101111 01110101 00100000 01101010 01110101 01110011 01110100 00100000 01100100 01101001 01100100 00100000 01101001 01110011 00100000 01100001 00100000 01100010 01100001 01101110 01101110 01100001 01100010 01101100 01100101 00100000 01101111 01100110 01100110 01100101 01101110 01110011 01100101 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01001101 01101111 01110010 01100100 01101001 01101100 01101100 01101111 00101111 01110011 01101001 01100111 00110011 01111101 01111101 00100000 00110010 00110000 00111010 00110001 00110110 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 01011011 01011011 01001001 01101101 01100001 01100111 01100101 00111010 01101111 01110010 01101100 01111001 00101110 01101010 01110000 01100111 01011101 01011101 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000001 01100100 01101101 01101001 01101110 01101001 01110011 01110100 01110010 01100001 01110100 01101111 01110010 00101111 01010011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110001 00111000 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 01111001 01100001 00100000 01110010 01101100 01111001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01001101 01101111 01110010 01100100 01101001 01101100 01101100 01101111 00101111 01110011 01101001 01100111 00110011 01111101 01111101 00100000 00110010 00110000 00111010 00110010 00110100 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01011011 01011011 01001001 01101101 01100001 01100111 01100101 00111010 01001111 01001000 01010011 01001110 01000001 01010000 00101110 01100111 01101001 01100110 01011101 01011101 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01011001 01101111 01110101 00100000 01101011 01101110 01101111 01110111 00101100 00100000 01001001 00100111 01101101 00100000 01110010 01100101 01100001 01101100 01101100 01111001 00100000 01110101 01110011 01101001 01101110 01100111 00100000 01110100 01101000 01101111 01110011 01100101 00100000 01110100 01110111 01101111 00100000 01101001 01101101 01100001 01100111 01100101 01110011 00100000 01110010 01101001 01100111 01101000 01110100 00100000 01100001 01100110 01110100 01100101 01110010 00100000 01110100 01101000 01100101 00100000 01101111 01110100 01101000 01100101 01110010 00100000 01110100 01101111 01101111 00100000 01101101 01110101 01100011 01101000 00100000 01110100 01101000 01100101 01110011 01100101 00100000 01100100 01100001 01111001 01110011 00101110 00100000 01001101 01100001 01111001 01100010 01100101 00100000 01001001 00100000 01101110 01100101 01100101 01100100 00100000 01100001 00100000 01101110 01100101 01110111 00100000 01101000 01100001 01110100 00111111 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000001 01100100 01101101 01101001 01101110 01101001 01110011 01110100 01110010 01100001 01110100 01101111 01110010 00101111 01010011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110010 00110111 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 01011011 01011011 01001001 01101101 01100001 01100111 01100101 00111010 01110010 01100101 01110100 01100001 01110010 01100100 01110011 00101110 01110000 01101110 01100111 01011101 01011101 00100000 01010100 01101000 01101001 01110011 00100000 01101001 01110011 00100000 01101000 01101111 01110111 00100000 01001001 00100000 01100110 01100101 01100101 01101100 00100000 01110111 01101000 01100101 01101110 00100000 01001001 00100000 01110000 01101111 01110011 01110100 00100000 01100001 01100110 01110100 01100101 01110010 00100000 01000001 01100100 01101101 01101001 01101110 00101110 00100000 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010110 01100101 01101100 01101111 01110011 01101001 00101101 01010100 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110101 00110001 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01011001 01000101 01010011 00100001 00100000 01001101 01111001 00100000 01110000 01101100 01100001 01101110 00100000 01101001 01110011 00100000 01110111 01101111 01110010 01101011 01101001 01101110 01100111 00100001 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000001 01100100 01101101 01101001 01101110 01101001 01110011 01110100 01110010 01100001 01110100 01101111 01110010 00101111 01010011 01101001 01100111 01111101 01111101 00100000 00110010 00110000 00111010 00110101 00110101 00101100 00100000 00110010 00110011 00100000 01001111 01100011 01110100 01101111 01100010 01100101 01110010 00100000 00110010 00110000 00110000 00111000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01001000 01100001 01100011 01101011 01100101 01100100 00100000 01010101 01110000 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01000100 01101001 01100100 00100000 01111001 01101111 01110101 00100000 01101011 01101110 01101111 01110111 00100000 01110100 01101000 01100001 01110100 00100000 01111001 01101111 01110101 00100000 01101000 01100001 01100011 01101011 01100101 01100100 00100000 01110101 01110000 00100000 01110100 01101000 01100101 00100000 01100110 01101001 01110010 01110011 01110100 00100000 01110110 01100101 01110010 01110011 01101001 01101111 01101110 00100000 01100001 01101110 01100100 00100000 01101000 01110101 01100110 01100110 01100101 01100100 00100000 01110100 01101000 01100101 00100000 01101100 01100001 01110011 01110100 00100000 01101111 01101110 01100101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110101 00111010 00110010 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111010 01011001 01100001 01111001 00100000 01101110 01101111 01110111 00100000 01101001 00100000 01101101 01101001 01100111 01101000 01110100 00100000 01101000 01100001 01110110 01100101 00100000 01100001 00100000 01100011 01101000 01100001 01101110 01100011 01100101 00100000 01100001 01110100 00100000 01110111 01101001 01101110 01101110 01101001 01101110 01100111 00100000 00101000 01001110 01101111 01110100 00101001 00101110 00100000 00110000 00110110 00111010 00110001 00110011 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 01010000 01010011 00111010 00100000 00111100 00111100 00111100 01010100 01101001 01101100 01100100 01100101 01110011 00100000 01100110 01100001 01101001 01101100 00101110 00100000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110110 00111010 00110001 00110100 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 01001110 01001111 01010000 01000101 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100001 00100000 01001001 00100111 01101100 01101100 00100000 01010100 01110010 01101001 01110000 01101100 01100101 00100000 01010011 01101001 01100111 01101110 00100000 01110100 01101000 01101001 01110011 00100000 01110000 01101111 01110011 01110100 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110001 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110001 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110001 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01110111 01100101 01101100 01101100 00100000 01101001 00100111 01101101 00100000 01100001 00100000 01101000 01110101 01100010 01101111 01101111 01101110 00101100 00100000 01100010 01100001 01100010 01111001 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01101001 00100111 01101101 00100000 01100001 00100000 01100011 01110010 01101111 01110011 01110011 00100000 01100010 01100101 01110100 01110111 01100101 01100101 01101110 00100000 01100001 00100000 01101000 01110101 01101101 01100001 01101110 00100000 01100001 01101110 01100100 00100000 01100001 01101110 00100000 01100001 01110000 01100101 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 01111100 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 01011101 01011101 00100000 00110000 00110101 00111010 00110011 00110000 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01010011 01101111 00100000 01111001 01101111 01110101 00100000 01110011 01100001 01111001 00100000 01011001 01101111 01110101 01110010 00100000 01100001 00100000 01011011 01011011 01000110 01110101 01110010 01110010 01111001 01011101 01011101 00111111 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110101 00111010 00110011 00110010 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 01001000 01100101 00100111 01110011 00100000 01101110 01101111 01110100 00100000 01110011 01100001 01111001 01101001 01101110 01100111 00100000 01100110 01110101 01110010 01110010 01101001 01100101 01110011 00100000 01100010 01100101 01101100 01101111 01101110 01100111 00100000 01110100 01101111 00100000 01101000 01101001 01101101 00101110 00100000 00101111 01110100 00110011 01101000 00100000 00110001 00110011 00110011 00110111 00100000 01100111 01110010 00110100 01101101 01101101 00110000 01110010 01111010 00001101 00001010 00001101 00001010 01000001 01101110 01111001 01110111 01100001 01111001 00111010 00100000 01010111 00110001 01001110 01001110 00110001 01001110 01000111 00001101 00001010 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01001000 01000101 01001100 01010000 01001101 01000101 01011101 01011101 00100000 00110000 00110110 00111010 00110100 00110011 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01001000 01000101 01001100 01010000 01001101 01000101 00001101 00001010 00111010 01001101 01100101 00100000 01100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110110 00111010 00110000 00111000 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110111 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01001010 01110101 01110011 01110100 00100000 01100010 01100101 01100011 01100001 01110101 01110011 01100101 00100000 01001001 00100000 01110111 01100001 01110011 00100000 01100010 01101111 01110010 01100101 01100100 00100000 01100001 01101110 01100100 00100000 01110100 01101000 01100101 01110010 01100101 00100111 01110011 00100000 01101110 01101111 01110100 00100000 01100101 01101110 01101111 01110101 01100111 01101000 00100000 01100010 01111001 01110100 01100101 01110011 00100000 01101000 01100101 01110010 01100101 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01001001 00100000 01101000 01100001 01110110 01100101 00100000 01110100 01101000 01100101 00100000 01100101 01101110 01110100 01101001 01110010 01100101 00100000 01110000 01100001 01100111 01100101 00100000 01100001 01100010 01101111 01110110 01100101 00100000 01110100 01101000 01101001 01110011 00100000 01110011 01100101 01100011 01110100 01101001 01101111 01101110 00100000 01101001 01101110 00100000 01100010 01101001 01101110 01100001 01110010 01111001 00100000 01101110 01101111 01110111 00111010 00100000 00001101 00001010 00001101 00001010 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110001 00100000 00110000 00110001 00110000 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110000 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00100000 00110000 00110001 00110000 00110000 00110001 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110001 00100000 00110000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110001 00110000 00100000 00110000 00110001 00110001 00110001 00110000 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110000 00110001 00110001 00110001 00110001 00100000 00110000 00110001 00110001 00110001 00110000 00110000 00110001 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110000 00110000 00110000 00110001 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110001 00110001 00110000 00110001 00110001 00110000 00110000 00100000 00110000 00110000 00110001 00110001 00110001 00110001 00110001 00110000 00100000 00110000 00110000 00110000 00110000 00110001 00110001 00110000 00110001 00100000 00110000 00110000 00110000 00110000 00110001 00110000 00110001 00110000 00001101 00001010 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110010 00110010 00111010 00110010 00110110 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01000001 01110011 01110011 01101000 01101111 01101100 01100101 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110010 00110011 00111010 00110011 00110011 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110111 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 01111011 01111011 01110110 01100001 01101110 01101001 01110100 01111001 01111101 01111101 00001101 00001010 00111010 00111010 01010100 01101000 01100001 01110100 00100000 01110111 01100001 01110011 00100000 01110011 01101111 00100000 01010110 01100001 01101001 01101110 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110011 00111010 00110000 00111001 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00111000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 01001001 00100000 01110100 01101000 01101001 01101110 01101011 00100000 01001001 00100000 01110011 01100001 01110111 00100000 01100001 00100000 00110010 00101110 00101110 00101110 00001101 00001010 00001101 00001010 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01001000 01000101 01001100 01010000 01001101 01000101 00101110 00101110 00101110 01110000 01110010 01101111 01100010 01100001 01100010 01101100 01111001 01011101 01011101 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110011 00111010 00110001 00110001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00111000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01000010 01101001 01110100 01101000 01100011 01100101 01110011 00100000 01100111 01100101 01110100 00100000 01110011 01110100 01101001 01100011 01101000 01100101 01110011 00101110 00001101 00001010 00001101 00001010 00111010 01010111 01101000 01101111 00100000 01110111 01110010 01101111 01110100 01100101 00100000 01110100 01101000 01100001 01110100 00100000 01001001 00100000 01100111 01101111 01101110 01101110 01100001 00100000 01101000 01100001 01110110 01100101 00100000 01110100 01101111 00100000 01100111 01101001 01110110 01100101 00100000 01111001 01101111 01110101 00100000 01100001 00100000 01010100 01101001 01101100 01100100 01100101 00100000 01010100 01100101 01101101 01110000 01101100 01100001 01110100 01100101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110001 00110001 00111010 00110101 00110110 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110001 00111000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 01000010 01101001 01110100 01100011 01101000 01100101 01110011 00100000 01110111 01110010 01101111 01110100 01100101 00100000 01101001 01110100 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110110 00111010 00110010 00110010 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00111000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110000 00110100 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 01010011 01010000 01000001 01001101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110000 00110100 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01001001 00100000 01110111 01100001 01101110 01110100 01100101 01100100 00100000 01110100 01101111 00100000 01101000 01100001 01110110 01100101 00100000 01100001 00100000 01110100 01101111 01110000 01101001 01100011 00100000 01110111 01101001 01110100 01101000 00100000 01101101 01111001 00100000 01110011 01101001 01100111 00100000 01101001 01101110 00100000 01101001 01110100 00100000 01110100 01101111 01101111 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110000 00110100 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 01111100 01010000 01100101 01101110 01101001 01110011 01011101 01011101 00001101 00001010 00111010 00111010 01001000 01100101 01111001 00100000 01110100 01101000 01100001 01110100 00100000 01101001 01110011 01110011 01101110 00100111 01110100 00100000 01100001 00100000 01110000 01110010 01101111 01110000 01100101 01110010 00100000 01110011 01101001 01100111 01101110 00100000 00001101 00001010 01010010 01100101 01101101 01100101 01101101 01100010 01100101 01110010 00100000 01110101 01110011 01100101 00111010 00001101 00001010 01111011 01111011 01010100 01101001 01101100 01100100 01100101 01111101 01111101 00001101 00001010 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110001 00110000 00111010 00110100 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 01001010 01110101 01110011 01110100 00100000 01010010 01100101 01101101 01100101 01101101 01100010 01100101 01110010 00100000 01100001 01100111 01100001 01101001 01101110 00100000 01111011 01111011 01110100 01101001 01101100 01100100 01100101 01111101 01111101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110001 00110000 00111010 00110101 00111000 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 01111100 01001110 01101111 00101110 01011101 01011101 00001101 00001010 00111010 00111010 01010011 01101001 01100111 01101110 00100000 01110111 01101001 01110100 01101000 00100000 00110100 00100000 01110100 01101001 01101100 01100100 01100101 01110011 00100000 01011011 01011011 01010101 01001110 00111010 01000110 01000110 01010011 01111100 01001111 01110010 00100000 01000101 01101100 01110011 01100101 01011101 01011101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110101 00111010 00110101 00110011 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110010 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 01010100 01101000 01100001 01110100 00100111 01110011 00100000 01100001 00100000 01110000 01110010 01100101 01110100 01110100 01111001 00100000 00111100 01110011 00111110 01101000 01101111 01110100 00111100 00101111 01110011 00111110 00100000 01100001 01110111 01100101 01110011 01101111 01101101 01100101 00100000 01110100 01101001 01101100 01100100 01100101 00101110 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01010011 01100011 01110010 01100101 01110111 00100000 01110100 01101001 01101100 01100100 01100101 01110011 01011101 01011101 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110000 00110110 00111010 00110010 00110010 00101100 00100000 00110010 00110010 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01011001 01000101 01000001 01001000 00100000 01010011 01001111 01001110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110000 00110110 00111010 00110010 00110010 00101100 00100000 00110010 00110010 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110111 00111010 00110010 00111001 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110010 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 01010011 01010000 01000001 01001101 00100000 01010011 01000011 01001000 01001111 01001111 01001100 00100000 01001111 01000110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110111 00111010 00110010 00111001 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110010 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01011001 01100101 01100001 00100000 01110100 01101000 01101001 01110011 00100000 01101001 01110011 00100000 01110010 01101001 01100111 01101000 01110100 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110111 00111010 00110010 00111001 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110010 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01110101 01110010 00100000 01100110 01100001 01100101 01100011 01100101 00100000 01101100 01101111 01101100 00100000 01101111 01101100 00100000 01101111 00100000 01101100 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110000 00111001 00111010 00110100 00110111 00101100 00100000 00110010 00110010 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01010011 01010000 01000001 01001101 00100000 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110010 00110010 00111010 00110001 00110011 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110011 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01101000 01101010 01100111 01100110 00111011 01101011 01101100 01101110 01101010 01100100 01100110 01110011 01100111 01101110 01101010 01101000 01101011 01100100 01100110 01110011 01100001 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01101100 01101111 01110011 01100100 01100110 01100111 01101001 01101111 01101010 01101110 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 01111100 01110011 01101111 01100110 01101010 01110011 01110010 01101010 01101110 01100001 01100110 01011101 01011101 00100000 00110101 00110111 00111010 00110010 00110011 00110100 00101100 00100000 01110011 01100100 01101000 01100110 01100111 01101010 01100001 00100000 00111000 00110111 00111000 00101100 00100000 00110110 00110100 00110111 00111000 00110101 00111001 00110101 00100000 01110100 01100111 01100111 01101011 01100100 01100111 00001101 00001010 00111010 00100111 00100111 00100111 00101010 01011011 01011011 01000010 01000001 01001101 01000110 01011101 01011101 00101010 00100111 00100111 00100111 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01101111 01100011 01101011 01110000 01110101 01110000 01110000 01100101 01110100 00100000 01101111 01100110 00100000 01100001 01101110 00100000 01110101 01101110 01110010 01100101 01100111 01101001 01110011 01110100 01100101 01110010 01100101 01100100 00100000 01110101 01110011 01100101 01110010 00101111 01110011 01101001 01100111 00110100 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100111 00100111 00110000 00110000 00111010 00110010 00110001 00101100 00100000 00110010 00110100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100111 00100111 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01001110 01101111 00101100 00100000 01110100 01101000 01100101 00100000 01100001 01101110 01110011 01110111 01100101 01110010 00100000 01101001 01110011 00100000 01110111 01100111 01100010 01100100 01100110 01101010 01101000 01100100 01100111 01100110 00101110 00100000 01010011 01101001 01101100 01101100 01111001 00101110 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01001000 01000101 01001100 01010000 01001101 01000101 01011101 01011101 00001101 00001010 00111010 00111010 00111010 01010101 01101010 01100110 01101011 00100000 01101011 01100100 01101010 01110011 01101100 01101101 01101011 00101100 00100000 01100111 01100010 01111001 01111001 00100000 01101111 01101100 01100010 01101110 01110011 00101110 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 01111100 01010100 01110011 01100100 01100111 00111010 01000110 01101011 01110011 01101110 01101000 00100000 01000111 01101111 01100100 00100000 01001011 01110101 01101000 01110011 01011101 01011101 00100000 00111001 00110100 00111010 00111000 00110010 00100000 01000110 01111001 01100001 01101001 01100111 01100100 01100110 00100000 00110110 00111000 00101100 00100000 00111001 00110010 00111001 00110010 00100000 00100110 01001000 01000111 01000111 00101001 00001101 00001010 00111010 00111010 00111010 00111010 01100110 01100110 01100100 01100011 01100100 01100011 01110110 01100110 01100100 01101110 01100100 01100011 01100110 01101110 01101001 01101111 01100100 01101011 00110100 00110101 01111000 01101101 01110011 00100101 00100010 00001101 00001010 00110111 01110011 00110110 01000000 00101111 00110010 00101011 00101111 00111010 00111011 00111010 01110110 01000000 00100011 00101111 00101111 01000000 01100111 01110110 01100010 00101111 01101001 01101011 01110011 00110000 00100101 01011111 01011111 01110011 01101010 01100100 01111010 00101111 01101001 01100100 01111010 00111010 01011111 00100101 01101011 01011111 00111010 01101011 01111000 01101011 01101011 00101110 00101010 00100111 00100111 00111010 00101000 00111011 01100110 01111000 00101010 00100111 01111010 01101101 01101101 01100100 01110011 01100011 01101011 01101011 00111010 01101011 01101011 01101011 00111010 01101011 01101011 01101011 01101011 01101011 01110011 01101011 01101001 01100011 01100100 01100011 01101010 01101010 01100110 01100101 01110111 01101011 01101011 00101000 01111010 01111010 01100100 01101010 01101001 01111010 01111000 01101011 01101011 01101101 01101010 01100010 00100000 01101010 01100110 00100010 01110010 01110101 01101010 01100110 01101010 01101010 01101010 01110100 01100110 01100011 01101010 01110010 01101010 01110110 01100111 01110010 01100101 00001101 00001010 00001101 00001010 00001101 00001010 01100111 01100011 01100011 01100111 01110010 01100100 01110110 01110100 01100110 01100011 01100100 01100101 01100110 01100111 00001101 00001010 00001101 00001010 00001101 00001010 01110100 01100110 01110110 01100110 01100110 01101010 01101110 01100100 00001101 00001010 00111010 00111010 00111010 01101011 01100110 01101010 01101001 01100110 01101011 01101110 01101010 01101001 00101101 01011111 00110101 00110100 00111010 00111010 00110101 00110110 00111010 00100100 00001101 00001010 00110110 00110101 00110100 00100111 00001101 00001010 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01100110 01110011 01101101 01100110 01100100 01110011 01111010 01101011 00101111 00101101 01110110 01100100 00101101 00101111 01101000 01100100 01101001 01101011 01100110 01100101 01101101 01101011 01101111 01101111 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110000 00110100 00111010 00110101 00110001 00101100 00100000 00110010 00110100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 00001101 00001010 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01000001 00100000 01000001 00100000 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01000001 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01000001 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01000001 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01000001 01000001 01000001 01111101 01111101 00110001 00110001 00111010 00110011 00110100 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01001110 01101111 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110101 00111010 00110011 00110001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110010 00110100 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01011001 01100101 01110011 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110001 00111000 00111010 00110100 00110000 00101100 00100000 00110010 00110100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 01001110 01101111 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01000001 01000001 01000001 01111101 01111101 00100000 00110010 00110000 00111010 00110001 00110000 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 01011001 01100101 01110011 00101110 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01011101 01011101 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01001110 01101111 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110010 00110000 00111010 00110001 00110110 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110010 00110100 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00001101 00001010 00111101 00111101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01101111 01100011 01101011 01110000 01110101 01110000 01110000 01100101 01110100 00100000 01101111 01100110 00100000 01100001 01101110 00100000 01110101 01101110 01110010 01100101 01100111 01101001 01110011 01110100 01100101 01110010 01100101 01100100 00100000 01110101 01110011 01100101 01110010 00101111 01110011 01101001 01100111 00110100 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100111 00100111 00110001 00110101 00111010 00110011 00111001 00101100 00100000 00110010 00110100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100111 00100111 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00111101 00111101 00001101 00001010 00111101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00111001 00111010 00110011 00110000 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110010 00110100 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00111101 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01000100 01001001 01000101 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01010100 01101000 01100001 01110100 00100000 01100011 01110010 01100001 01110111 01101100 01101001 01101110 01100111 00100000 01100111 01101110 01100001 01110100 00100000 01101001 01110011 00100000 01100001 01101110 01101110 01101111 01111001 01101001 01101110 01100111 00100000 01101101 01100101 00101100 00100000 01100001 01101110 01100100 00100000 01001001 00100000 01101011 01101110 01101111 01110111 00100000 01110111 01101000 01101111 00100000 01100100 01101001 01100100 00100000 01101001 01110100 00100000 01110100 01101111 01101111 00101110 00100000 00101010 01010011 01110100 01100001 01100010 01110011 00100000 01000101 01001101 01000011 00101010 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01001000 01000101 01001100 01010000 01001101 01000101 01011101 01011101 00001101 00001010 00111010 01010100 01100101 01100101 01101000 01100101 01100101 00100001 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000101 01101100 01100101 01100011 01110100 01110010 01101001 01100110 01101001 01100101 01100100 00100000 01101101 01101111 01100011 01101000 01100001 00100000 01100011 01101000 01101001 01101110 01100011 01101000 01101001 01101100 01101100 01100001 00101111 01110011 01101001 01100111 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 00100011 00110010 01000010 00110101 00110100 00110111 01000101 00100010 00111110 00110010 00110000 00111010 00110101 00110001 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 00100011 00110011 00111000 00110111 01000011 00110100 00110100 00100010 00111110 00100000 01001010 01100001 01101110 00100000 00110010 00110100 00100000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 00100011 00110010 01000010 00110101 00110100 00110111 01000101 00100010 00111110 00100000 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01001001 00100000 01100011 01100001 01101110 00100000 01100110 01110101 01100011 01101011 00100000 01110111 01101001 01110100 01101000 00100000 01110100 01101000 01100001 01110100 00100000 01110100 01101111 01101111 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01000001 01000001 01000001 01111101 01111101 00100000 00110010 00110001 00111010 00110011 00111001 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 01000001 01000001 01000001 01001000 00100000 01000111 01001110 01000001 01010100 01010011 00100000 01001111 01001110 00100000 01001101 01011001 00100000 01000011 01001111 01001101 01010000 01010101 01010100 01000101 01010010 00100001 00100001 00100001 00110001 00100001 00100001 00100001 01101111 01101110 01100101 01101111 01101110 01100101 00100001 00100001 00100001 00110001 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01001000 01000101 01001100 01010000 01001101 01000101 01011101 01011101 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01001001 01010000 00100000 01000101 01000100 01001001 01010100 01010011 00100000 01010100 01001111 00100000 01010100 01001000 01000101 00100000 01000001 01010010 01010100 01001001 01000011 01001100 01000101 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01010111 01010100 01000110 00100000 01100001 01101110 00100000 01001001 01010000 00100000 01101001 01110011 00100000 01100101 01100100 01101001 01110100 01101001 01101110 01100111 00100000 01110100 01101000 01101001 01110011 00100000 01100001 01100111 01100001 01101001 01101110 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110010 00110010 00111010 00110001 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01010111 01101000 01100001 01110100 00100111 01110011 00100000 01110111 01110010 01101111 01101110 01100111 00100000 01110111 01101001 01110100 01101000 00100000 01001001 01010000 00100000 01100101 01100100 01101001 01110100 01110011 00111111 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 01111100 00110100 00110011 00110100 00101110 00110010 00110101 00110110 00101110 00110101 00110011 00101110 00110101 00110001 00110101 00110100 00101110 00110111 00110100 00110010 00110001 00101110 00110101 00110011 00110001 00101110 00110110 00110010 00110100 00101110 00110111 00110010 00101110 00110001 00101110 00110100 00101110 00110001 00110110 00101110 00110010 00110110 00110101 00101110 00110011 00110111 00101110 00110010 01011101 01011101 00100000 00110010 00110011 00111010 00110000 00110101 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 01000010 01100101 01100011 01100001 01110101 01110011 01100101 00100000 01110111 01100101 00100000 01100100 01101111 01101110 00100111 01110100 00100000 01101011 01101110 01101111 01110111 00100000 01110111 01101000 01101111 00100000 01110100 01101000 01100101 01111001 00100000 01100001 01110010 01100101 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110010 00110011 00111010 00110100 00111000 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 01010100 01101000 01100001 01110100 00100111 01110011 00100000 01110010 01100001 01110011 01101001 01110011 01110100 00100001 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 01111100 00110100 00110011 00110100 00101110 00110010 00110101 00110110 00101110 00110101 00110011 00101110 00110101 00110001 00110101 00110100 00101110 00110111 00110100 00110010 00110001 00101110 00110101 00110011 00110001 00101110 00110110 00110010 00110100 00101110 00110111 00110010 00101110 00110001 00101110 00110100 00101110 00110001 00110110 00101110 00110010 00110110 00110101 00101110 00110011 00110111 00101110 00110010 01011101 01011101 00100000 00110000 00110000 00111010 00110001 00110100 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110101 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 01001110 01101111 00100000 01001001 01110100 00100111 01110011 00100000 01001110 01101111 01110100 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 01111100 00110001 00110010 00110111 00101110 00110000 00101110 00110000 00101110 00110001 01011101 01011101 00100000 00110000 00110000 00111010 00110010 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110101 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 01001001 00100000 01110111 01101001 01101100 01101100 00100000 01101000 01110101 01110010 01110100 00111101 00001101 00001010 01100001 01101100 01101100 00100000 01111001 00100111 01100001 01101100 01101100 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110001 00111010 00110011 00110111 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110010 00110101 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00100000 01001000 01100001 01101000 00100000 01010010 01100101 01110110 01100101 01110010 01110100 01100101 01100100 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110001 00111010 00110101 00111001 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110101 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01011001 01100001 00100000 01010010 01001100 01011001 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01000001 01101110 01110011 01110111 01100101 01110010 00100000 01110100 01101111 00100000 01100001 01101100 01101100 00100000 01110100 01101000 01100101 00100000 01001111 00100000 01010010 01001100 01011001 00100111 01110011 00100000 01100011 01101100 01101111 01100111 01100111 01101001 01101110 01100111 00100000 01110100 01101000 01100101 00100000 01110000 01100001 01100111 01100101 00111010 00001101 00001010 00001101 00001010 00100111 00100111 00100111 01011001 01000001 00100000 01010010 01001100 01011001 00100000 00101010 00110111 00100111 00100111 00100111 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110011 00111010 00110011 00110001 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110101 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01001110 01001111 00100000 01010111 01000001 01001001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110000 00110011 00111010 00110011 00110101 00101100 00100000 00110010 00110101 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01011001 01100101 01100001 00100000 01010010 01001100 01011001 00100001 01000001 00001101 00001010 00111010 00111010 00111010 01011110 01010111 01101000 01101111 00100000 01110111 01110010 01101111 01110100 01100101 00100000 01110100 01101000 01100001 01110100 00111111 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110011 00111010 00110101 00111000 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110101 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 01001110 01100010 01100100 01111001 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110100 00111010 00110000 00110000 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110010 00110101 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01001111 01101000 00100000 01101001 01110011 00100000 01110100 01101000 01100001 01110100 00100000 01110100 01101000 01100101 00100000 01100111 01110101 01111001 00100000 01110111 01101000 01101111 00100000 01100011 01100001 01110010 01100101 01110011 00111111 00100000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00111000 00111010 00110011 00111001 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110101 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 01010100 01101000 01100001 01110100 00100000 01100100 01101111 01100101 01110011 01101110 00100111 01110100 00100000 01100101 01111000 01101001 01110011 01110100 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00111001 00111010 00110100 00110010 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110101 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01010100 01101000 01100001 01110100 00100000 01110011 01101111 01110101 01101110 01100100 01100101 01100100 00100000 01101100 01101001 01101011 01100101 00100000 01111001 01101111 01110101 00100000 01110111 01100101 01110010 01100101 00100000 01110010 01100101 01100110 01100101 01110010 01110010 01101001 01101110 01100111 00100000 01110100 01101111 00100000 01101110 01101111 01100010 01101111 01100100 01111001 00100000 01100001 01110011 00100000 01100001 00100000 01110100 01101000 01101001 01101110 01100111 00101100 00100000 01110111 01101000 01100101 01101110 00100000 01101001 01110100 00100111 01110011 00100000 01101111 01100010 01110110 01101001 01101111 01110101 01110011 01101100 01111001 00100000 01100001 00100000 01110000 01100101 01110010 01110011 01101111 01101110 00100000 00101000 01101100 01101111 01101100 00111111 00101001 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110001 00110000 00111010 00110000 00110101 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110110 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01010111 01101111 01110111 00101110 00101110 00101110 00100000 01000001 01101101 01100001 01111010 01101001 01101110 01100111 00100000 01100100 01100101 01100100 01110101 01100011 01110100 01101001 01101111 01101110 00101100 00100000 01000011 01100001 01110000 01110100 01100001 01101001 01101110 00100000 01001111 01100010 01110110 01101001 01101111 01110101 01110011 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110010 00110000 00111010 00110011 00110111 00101100 00100000 00110010 00110110 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01000010 01100101 01110100 01110100 01100101 01110010 00100000 01001111 01100010 01110110 01101001 01101111 01110101 01110011 00100000 01110100 01101000 01100001 01101110 00100000 01001111 01100010 01101100 01101001 01110110 01101001 01101111 01110101 01110011 00100000 00111010 01010000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110010 00110011 00111010 00110100 00110100 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110110 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01000101 01101000 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110010 00110011 00111010 00110100 00111000 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110010 00110110 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01010010 01100101 01110110 01100101 01110010 01110100 00100001 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110101 00111010 00110001 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001001 00100000 01101000 01100001 01110110 01100101 00100000 01101110 01101111 01110100 01101000 01101001 01101110 01100111 00100000 01110100 01101111 00100000 01110011 01100001 01111001 00100000 01110100 01101111 00100000 01111001 01101111 01110101 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110010 00110011 00111010 00110010 00110110 00101100 00100000 00110010 00110111 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01010111 01101000 01111001 00100000 01100100 01101001 01100100 00100000 01111001 01101111 01110101 00100000 01101100 01100101 01110100 00100000 01100001 00100000 01001011 01101001 01110100 01110100 01100101 01101110 00100000 01000111 01100101 01110100 00100000 01001000 01110101 01100110 01100110 01100101 01100100 00111111 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110101 00111010 00110010 00111000 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00111000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001011 01101001 01110100 01110100 01100101 01101110 01110011 00100000 01110011 01101000 01101111 01110101 01101100 01100100 01101110 00100111 01110100 00100000 01101100 01100101 01110100 00100000 01110100 01101000 01100101 01101101 01110011 01100101 01101100 01110110 01100101 01110011 00100000 01100111 01100101 01110100 00100000 01101001 01101110 01110100 01101111 00100000 01110100 01101000 01100101 00100000 01110000 01101111 01110011 01101001 01110100 01101001 01101111 01101110 00100000 01110100 01101111 00100000 01100010 01100101 00100000 01101000 01110101 01100110 01100110 01100101 01100100 00100000 01101001 01101110 00100000 01110100 01101000 01100101 00100000 01100110 01101001 01110010 01110011 01110100 00100000 01110000 01101100 01100001 01100011 01100101 00100000 00101000 01000101 01010110 01001001 01001100 00100000 01101101 01110101 01100001 01101000 01100001 01101000 01100001 01101000 01100001 01101000 01100001 00100001 00101001 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00111000 00111010 00110001 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00111000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01010011 01110100 01101111 01110000 00100000 01000101 01100100 01101001 01110100 01101001 01101110 01100111 00100000 01010100 01101000 01101001 01110011 00100000 01010000 01100001 01100111 01100101 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01001011 01101001 01110100 01110100 01100101 01101110 01110011 00100000 01101011 01100101 01100101 01110000 00100000 01101111 01101110 00100000 01100111 01100101 01110100 01110100 01101001 01101110 01100111 00100000 01101000 01110101 01100110 01100110 01100101 01100100 00100000 01110111 01101000 01100101 01101110 00100000 01111001 01101111 01110101 00100000 01100100 01101111 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00111000 00111010 00110010 00110110 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00111001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01001100 01100001 01101101 01100101 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110001 00111010 00110101 00110010 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110010 00111001 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01010011 01101001 01101101 01110000 01101100 01100101 00100000 01110011 01101111 01101100 01110101 01110100 01101001 01101111 01101110 00111010 00100000 01101010 01110101 01110011 01110100 00100000 01100001 01110010 01100011 01101000 01101001 01100101 01110110 01100101 00100000 01110100 01101000 01101001 01110011 00100000 01110000 01100001 01100111 01100101 00100000 01110011 01101111 00100000 01101110 01101111 00100000 01101101 01101111 01110010 01100101 00100000 01101011 01101001 01110100 01110100 01100101 01101110 01110011 00100000 01100111 01100101 01110100 00100000 01101000 01110101 01100110 01100110 01100101 01100100 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110001 00110010 00111010 00110010 00110011 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00111001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 01101110 01100001 01101000 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110010 00110000 00111010 00110011 00110011 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110010 00111001 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 01001001 00100000 01110011 01110101 01110000 01110000 01101111 01110010 01110100 00100000 01110100 01101000 01100101 00100000 01101000 01110101 01100110 01100110 01101001 01101110 01100111 00100000 01101111 01100110 00100000 01101011 01101001 01110100 01110100 01100101 01101110 01110011 00101110 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01001000 01000101 01001100 01010000 01001101 01000101 01011101 01011101 00100000 00110010 00110000 00111010 00110011 00111000 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00111001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01001000 01000101 01001100 01010000 01001101 01000101 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01001001 00100000 01110011 01110101 01110000 01110000 01101111 01110010 01110100 00100000 01110100 01101000 01100101 00100000 01101000 01110101 01100110 01100110 01101001 01101110 01100111 00100000 01101111 01100110 00100000 01111011 01111011 01010010 01000101 01010110 01001001 01010011 01001001 01001111 01001110 01010101 01010011 01000101 01010010 01111101 01111101 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110010 00110010 00111010 00110100 00110010 00101100 00100000 00110010 00111001 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 01001001 00100000 01110011 01110101 01110000 01110000 01101111 01110010 01110100 00100000 01110100 01101000 01100101 00100000 01101000 01110101 01100110 01100110 01101001 01101110 01100111 00100000 01101111 01100110 00101110 00101110 00101110 00100000 01101101 01100001 01111001 01100010 01100101 00100000 01001001 00100000 01110011 01101000 01101111 01110101 01101100 01100100 01101110 00100111 01110100 00100000 01100001 01100011 01110100 01110101 01100001 01101100 01101100 01111001 00100000 01110011 01100001 01111001 00100000 01101001 01110100 00101110 00101110 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110010 00110011 00111010 00110000 00110010 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110010 00111001 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01010111 01101000 01101111 00100000 01110011 01110100 01100001 01110010 01110100 01100101 01100100 00100000 01101011 01101001 01110100 01110100 01100101 01101110 00100000 01101000 01110101 01100110 01100110 01101001 01101110 01100111 00100000 01100001 01101110 01111001 01110111 01100001 01111001 00111111 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110101 00111010 00110100 00110100 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110011 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001001 00100000 01110011 01110101 01110000 01110000 01101111 01110010 01110100 00100000 01110100 01101000 01100101 00100000 01101000 01110101 01100110 01100110 01101001 01101110 01100111 00100000 01101111 01100110 00100000 01110000 01101111 01101001 01101110 01110100 01101100 01100101 01110011 01110011 00100000 01110101 01110011 01100101 01110010 01110011 00100000 01110100 01101000 01100001 01110100 00100000 01110010 01100001 01101110 01100100 01101111 01101101 01101100 01111001 00100000 01110011 01110000 01100001 01101101 00100000 01100001 01101110 01100100 00100000 01101100 01101111 01110110 01100101 00100000 01101101 01100101 01101101 01100101 01110011 00101110 00100000 01001110 01101111 01110111 00101110 00101110 00101110 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01101000 01100100 01100111 01100110 01101011 01110011 01100001 01100110 01101010 01100001 01101011 01101100 01110011 00100000 01001111 00100000 01110010 01101100 01111001 00111111 00100000 01001101 01110101 01100100 01101011 01101001 01110000 01111010 00100000 01101111 01110110 01100101 01110010 00100000 00111001 00110000 00110000 00110000 00100001 00100000 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00001101 00001010 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00001101 00001010 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01001000 01000101 01001100 01010000 01001101 01000101 01011101 01011101 00100000 00110000 00110101 00111010 00110100 00111001 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110011 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01011101 01011101 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01010111 01101000 01100001 01110100 00100000 00111001 00110000 00110000 00110000 00100001 00100000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110001 00110010 00111010 00110011 00110101 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110011 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 01001110 01101111 01110100 01100101 00111010 00100000 01001001 00100000 01110111 01100001 01110011 00100000 01110011 01101111 00100000 01110011 01110101 01110010 01110000 01110010 01101001 01110011 01100101 01100100 00100000 01100010 01111001 00100000 00111001 00110000 00110000 00110000 00101100 00100000 01101001 00100000 01100110 01101111 01110010 01100111 01100101 01110100 00100000 01110100 01101111 00100000 01110000 01110101 01110100 00100000 01110100 01101000 01101001 01110011 00100000 01101111 01101110 00100000 01100001 00100000 01101110 01100101 01110111 00100000 01101100 01101001 01101110 01100101 00100001 00100000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110001 00110010 00111010 00110011 00110110 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110011 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01000100 01100001 01101101 01101110 00100000 01101001 01110100 00100000 01100100 01101111 01101110 00100111 01110100 00100000 01111001 01101111 01110101 00100000 01011011 01011011 01001110 01101111 01100010 01101111 01100100 01111001 00100000 01000011 01100001 01110010 01100101 01110011 01111100 01000011 01100001 01110010 01100101 01011101 01011101 00100000 01100110 01101111 01110010 00100000 01011011 01011011 01001011 01101001 01110100 01110100 01100101 01101110 01110011 01011101 01011101 00111111 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00111001 00111010 00110010 00110011 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110011 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001011 01101001 01110100 01110100 01100101 01101110 01110011 00100000 01110010 01100001 01110000 01100101 01100100 00100000 01101101 01111001 00100000 01101101 01101111 01110100 01101000 01100101 01110010 00100000 01100001 01101110 01100100 00100000 01101011 01101001 01101100 01101100 01100101 01100100 00100000 01101101 01111001 00100000 01100110 01100001 01110100 01101000 01100101 01110010 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 00101111 01110011 01101001 01100111 01111101 01111101 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001001 01101110 01110100 01100101 01110010 01100101 01110011 01110100 01101001 01101110 01100111 00101110 00100000 01001011 01101001 01110100 01110100 01100101 01101110 01110011 00100000 01110010 01100001 01110000 01100101 01100100 00100000 01100001 01101110 01100100 00100000 01101011 01101001 01101100 01101100 01100101 01100100 00100000 01101101 01111001 00100000 01101101 01101111 01110100 01101000 01100101 01110010 00100000 01100001 01101110 01100100 00100000 01101101 01100001 01100100 01100101 00100000 01101100 01101111 01110110 01100101 00100000 01110100 01101111 00100000 01101101 01111001 00100000 01100110 01100001 01110100 01101000 01100101 01110010 00101110 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000101 01101100 01100101 01100011 01110100 01110010 01101001 01100110 01101001 01100101 01100100 00100000 01101101 01101111 01100011 01101000 01100001 00100000 01100011 01101000 01101001 01101110 01100011 01101000 01101001 01101100 01101100 01100001 00101111 01110011 01101001 01100111 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 00100011 00110010 01000010 00110101 00110100 00110111 01000101 00100010 00111110 00110001 00110001 00111010 00110100 00110010 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 00100011 00110011 00111000 00110111 01000011 00110100 00110100 00100010 00111110 00100000 01001010 01100001 01101110 00100000 00110011 00110001 00100000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 00100011 00110010 01000010 00110101 00110100 00110111 01000101 00100010 00111110 00100000 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01010100 01101000 01100001 01110100 00100000 01110000 01110010 01101111 01100010 01100001 01100010 01101100 01111001 00100000 01110011 01101000 01101111 01110101 01101100 01100100 01101110 00100111 01110100 00100000 01110100 01110101 01110010 01101110 00100000 01101101 01100101 00100000 01101111 01101110 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 00101111 01110011 01101001 01100111 01111101 01111101 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01011001 01100101 01100001 01101000 00101100 00100000 01100010 01110101 01101000 01110111 01101000 01100001 01100100 01100100 01100001 01111001 01100001 01100111 01101111 01101110 01101110 01100001 01100100 01101111 00111111 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110010 00110000 00111010 00110000 00111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110011 00110001 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01011001 01101111 01110101 00100000 01101011 01101110 01101111 01110111 00100000 01110100 01101000 01100001 01110100 00100111 01110011 00100000 01001001 01101100 01101100 01100101 01100111 01100001 01101100 00100001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110010 00110001 00111010 00110101 00110111 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110011 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001110 01101111 01110100 00100000 01101001 01101110 00100000 01001111 01101000 01101001 01101111 00101110 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01001000 01000101 01001100 01010000 01001101 01000101 01011101 01011101 00100000 00110010 00110011 00111010 00110001 00110110 00101100 00100000 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00100000 00110011 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 00101111 01110011 01101001 01100111 01111101 01111101 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00100000 01001111 01110010 00100000 01001000 01100001 01110111 01100001 01101001 01101001 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110010 00110011 00111010 00110010 00110001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110011 00110001 01001010 01100001 01101110 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001111 01110010 00100000 01001001 01110100 01100001 01101100 01111001 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110000 00110111 00111010 00110000 00110001 00101100 00100000 00110001 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001111 01110010 00100000 01000010 01100001 01101110 01100111 01101111 01110010 01100101 01100011 01110100 01101111 01110011 01101100 01101111 01110110 01100001 01101011 01101001 01100001 00101110 00100000 00101101 00101101 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000101 01101100 01100101 01100011 01110100 01110010 01101001 01100110 01101001 01100101 01100100 00100000 01101101 01101111 01100011 01101000 01100001 00100000 01100011 01101000 01101001 01101110 01100011 01101000 01101001 01101100 01101100 01100001 00101111 01110011 01101001 01100111 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 00100011 00110010 01000010 00110101 00110100 00110111 01000101 00100010 00111110 00110000 00110111 00111010 00110010 00110001 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 00100011 00110011 00111000 00110111 01000011 00110100 00110100 00100010 00111110 00100000 01000110 01100101 01100010 00100000 00110001 00100000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 00100011 00110010 01000010 00110101 00110100 00110111 01000101 00100010 00111110 00100000 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001111 00100000 01010010 01001100 01011001 00111111 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110111 00111010 00110011 00111000 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001000 01100101 01111001 00101100 00100000 01101001 01110100 00100111 01110011 00100000 01101110 01101111 01110100 00100000 01101100 01100101 01100111 01100001 01101100 00100000 01101001 01101110 00100000 01001001 01110100 01100001 01101100 01111001 00100001 00100000 01011001 01100001 00100000 01101011 01101110 01101111 01110111 00101100 00100000 01110101 01101110 01101100 01100101 01110011 01110011 00100000 01110111 01101001 01101110 01100101 00100000 01101001 01110011 00100000 01101001 01101110 01110110 01101111 01101100 01110110 01100101 01100100 00101110 00101110 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110010 00111010 00110000 00110001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001001 00100000 01101011 01101110 01101111 01110111 00100000 01110011 01101111 01101101 01100101 01101111 01101110 01100101 00100000 01110100 01101000 01100001 01110100 00100000 01101001 01110011 00100000 01101110 01101111 01110100 00100000 01101000 01100101 01110010 01100101 00100000 01101111 01101110 00100000 01010101 01101110 01100011 01111001 01100011 01101100 01101111 01110000 01100101 01100100 01101001 01100001 00100000 01110100 01101000 01100001 01110100 00100000 01110111 01101001 01101100 01101100 00100000 01110100 01100001 01101011 01100101 00100000 01100011 01100001 01110010 01100101 00100000 01101111 01100110 00100000 01110100 01101000 01100001 01110100 00100000 01110000 01110010 01101111 01100010 01101100 01100101 01101101 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00111001 00111010 00110101 00110010 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01000100 01101001 01100100 00100000 01111001 01101111 01110101 00100000 01101011 01101110 01101111 01110111 00100000 01110100 01101000 01100001 01110100 00100000 01110100 01101000 01100101 01110010 01100101 00100111 01110011 00100000 01100001 00100000 01110000 01101100 01100001 01100011 01100101 00100000 01101001 01101110 00100000 01001110 01101111 01110010 01110111 01100001 01111001 00100000 01101110 01100001 01101101 01100101 01100100 00100000 01000111 01110010 01110101 01100101 00111111 00100000 00100000 01011011 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01100101 01101110 00101110 01110111 01101001 01101011 01101001 01110000 01100101 01100100 01101001 01100001 00101110 01101111 01110010 01100111 00101111 01110111 01101001 01101011 01101001 00101111 01000111 01110010 01110101 01100101 00101100 01011111 01001110 01101111 01110010 01110111 01100001 01111001 00100000 01010100 01101000 01101001 01110011 01011101 00100000 01101001 01110011 00100000 01101001 01110100 00100000 00111010 01010000 00100000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110110 00111010 00110001 00110111 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110011 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001001 00100000 01110111 01101111 01110101 01101100 01100100 01101110 00100111 01110100 00100000 01100111 01101111 00100000 01110100 01101000 01100101 01110010 01100101 00101110 00100000 01001001 00100000 01110100 01101000 01101001 01101110 01101011 00100000 01111001 01101111 01110101 00100000 01100011 01100001 01101110 00100000 01100111 01110101 01100101 01110011 01110011 00100000 01110111 01101000 01111001 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00110010 00110011 00111010 00110010 00111001 00101100 00100000 00110011 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01001001 00100000 01101011 01101110 01101111 01110111 00100000 01110111 01101000 01111001 00100000 01011011 01011011 01001100 01010101 01001100 01011010 01011101 01011101 00101100 00100000 01010011 01101111 01101101 01100101 01101111 01101110 01100101 00100000 01110011 01101000 01101111 01110101 01101100 01100100 00100000 01110111 01110010 01101001 01110100 01100101 00100000 01100001 01101110 00100000 01100001 01110010 01110100 01101001 01100011 01101100 01100101 00100000 01101111 01101110 00100000 01011011 01011011 01000111 01110010 01110101 01100101 00101100 00100000 01001001 01110100 01100001 01101100 01111001 01011101 01011101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00111000 00111010 00110000 00110001 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110101 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 01011001 01100101 01100001 01101000 00101100 00100000 01001001 00100000 01101000 01100001 01110110 01100101 00100000 01110100 01101111 00100000 01100100 01110010 01100001 01110111 00100000 01110100 01101000 01100101 00100000 01101100 01101001 01101110 01100101 00100000 01101000 01100101 01110010 01100101 00101100 00100000 01011011 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110101 01101110 01100011 01111001 01100011 01101100 01101111 01110000 01100101 01100100 01101001 01100001 00101110 01110111 01101001 01101011 01101001 01100001 00101110 01100011 01101111 01101101 00101111 01101001 01101110 01100100 01100101 01111000 00101110 01110000 01101000 01110000 00111111 01110100 01101001 01110100 01101100 01100101 00111101 01000110 01101111 01110010 01110101 01101101 00100101 00110011 01000001 01001100 01100001 01110011 01110100 01011111 01110000 01100101 01110010 01110011 01101111 01101110 01011111 01110100 01101111 01011111 01100101 01100100 01101001 01110100 01011111 01110111 01101001 01101110 01110011 00100110 01100100 01101001 01100110 01100110 00111101 00110100 00110011 00110111 00110110 00110101 00111001 00110110 00100110 01101111 01101100 01100100 01101001 01100100 00111101 00110100 00110011 00110111 00110101 00110111 00110001 00111000 00100000 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01011101 00101110 00100000 01010111 01101000 01101111 01110010 01101001 01101110 01100111 00100000 01111001 01101111 01110101 01110010 00100000 01100001 01110010 01110100 01101001 01100011 01101100 01100101 00100000 01101100 01101001 01101011 01100101 00100000 01110111 01101001 01101100 01101100 00100000 01110000 01101100 01100001 01100011 01100101 00100000 01110100 01101000 01101001 01110011 00100000 01110000 01100001 01100111 01100101 00100000 01101001 01101110 00100000 01100001 00100000 01100010 01110101 01101110 01100011 01101000 00100000 01101111 01100110 00100000 01100011 01100001 01110100 01100101 01100111 01101111 01110010 01101001 01100101 01110011 00100000 01101001 01110100 00100000 01100100 01101111 01100101 01110011 01101110 00100111 01110100 00100000 01100010 01100101 01101100 01101111 01101110 01100111 00100000 01101001 01101110 00101100 00100000 01100001 01101110 01100100 00100000 01110010 01110101 01101001 01101110 00100000 01110100 01101000 01100101 00100000 01100100 01100001 01110100 01100001 01100010 01100001 01110011 01100101 00100000 01110111 01101001 01110100 01101000 00100000 01110100 01101000 01100101 00100000 01011011 01011011 01010101 01101110 01100011 01111001 01100011 01101100 01101111 01110000 01100101 01100100 01101001 01100001 00111010 01000010 01100101 01110011 01110100 00100000 01101111 01100110 01111100 01000110 01000001 00100000 01010011 01110100 01100001 01101101 01110000 01011101 01011101 00101110 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01010000 01101001 01101100 01100101 00100000 01001111 01100110 00100000 01010011 01101000 01101001 01110100 01111100 01011001 01101111 01110101 00100000 01100001 01101100 01110010 01100101 01100001 01100100 01111001 00100000 01101011 01101110 01100101 01110111 00100000 01110100 01101000 01100001 01110100 00101110 01011101 01011101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01111001 01101110 01100100 01110010 01101111 01101101 01100101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110010 00110011 00111010 00110100 00110100 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110101 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01011001 01100101 01110011 00101100 00100000 01001001 00100000 01100001 01101100 01110010 01100101 01100001 01100100 01111001 00100000 01101011 01101110 01100101 01110111 00100000 01110100 01101000 01100001 01110100 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110000 00111010 00110001 00110011 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110110 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01001111 01001101 01000111 00100000 01011001 01101111 01110101 00100000 01110000 01101100 01100001 01111001 00100000 01011011 01011011 01010011 01100101 01100011 01101111 01101110 01100100 00100000 01001100 01101001 01100110 01100101 01011101 01011101 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00111000 00111010 00110001 00110110 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110110 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01001001 01010100 01010011 01000001 00100000 01001100 01010101 01001001 01000111 01001001 00100000 01010100 01001001 01001101 01000101 00100001 00100001 00100001 00100001 00100001 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 01011011 01011011 01000110 01101001 01101100 01100101 00111010 01001001 01110100 01110011 01100001 00100000 01001100 01110101 01101001 01100111 01101001 00100000 01010100 01101001 01101101 01100101 00101110 01110000 01101110 01100111 01111100 00110010 00110000 00110000 01110000 01111000 01011101 01011101 00100000 00001101 00001010 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 00101111 01010100 01001011 01000110 01110011 01101001 01100111 01111101 01111101 00001101 00001010 00111101 00111101 01001001 01010100 01010011 01000001 00100000 01001101 01000001 01010010 01001001 01001111 00100000 01010100 01001001 01001101 01000101 00100001 00100001 00100001 00100001 00100001 00111101 00111101 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01101000 01110100 01110100 01110000 00111010 00101111 00101111 01110111 01110111 01110111 00101110 01100100 01100101 01110011 01110100 01110010 01110101 01100011 01110100 01101111 01101001 01100100 00101110 01100011 01101111 01101101 00101111 01110111 01110000 00101101 01100011 01101111 01101110 01110100 01100101 01101110 01110100 00101111 01110101 01110000 01101100 01101111 01100001 01100100 01110011 00101111 00110010 00110000 00110000 00110110 00101111 00110000 00110111 00101111 01101101 01100001 01110010 01101001 01101111 00110001 00101110 01101010 01110000 01100111 00001101 00001010 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110011 00111010 00110000 00110100 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110111 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01011011 01011011 01010111 01010100 01000110 01111100 01010111 01101000 00111000 01110100 00100000 01110100 00110011 01101000 00100000 01100110 01110101 01111000 00110000 01110010 00111111 00100001 01011101 01011101 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01010100 01101111 01101111 00100000 01101101 01100001 01101110 01111001 00100000 01110000 01101001 01100011 01110100 01110101 01110010 01100101 01110011 00100001 00100000 00100000 01010000 01100001 01100111 01100101 00100000 01100001 01100010 01101111 01110101 01110100 00100000 01110100 01101111 00100000 01100111 01101111 00100000 01100010 01101111 01101111 01101101 00100001 00100000 00100000 01011011 01011011 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 01000001 00100001 01011101 01011101 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110011 00111010 00110011 00110001 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01011001 01101111 01110101 00100111 01110010 01100101 00100000 01110101 01100111 01101100 01111001 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110011 00111010 00110100 00110011 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110111 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01011001 01101111 01110101 00100000 01100111 01101111 01110100 00100000 01110000 01110010 01101111 01101111 01100110 00111111 00100000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00111001 00111010 00110100 00110100 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01000001 00100000 01110000 01110101 01100010 01101100 01101001 01100011 00100000 01110011 01100101 01110010 01110110 01101001 01100011 01100101 00100000 01100001 01101110 01101110 01101111 01110101 01101110 01100011 01100101 01101101 01100101 01101110 01110100 00100000 01100110 01110010 01101111 01101101 00100000 01000010 01101111 01110111 01110011 01100101 01110010 00100000 01100011 01101111 01101110 01100011 01100101 01110010 01101110 01101001 01101110 01100111 00100000 01110100 01101000 01100101 00100000 01100001 01100010 01101111 01110110 01100101 00100000 01110000 01101001 01100011 01110100 01110101 01110010 01100101 01110011 00101110 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01001101 01100001 01110010 01101001 01101111 00100000 01101001 01110011 00100000 01100111 01100001 01111001 00101110 00100000 01001000 01100101 00100000 01101001 01110011 00100000 01110100 01101000 01100101 00100000 01110111 01101111 01110010 01110011 01110100 00100000 01101000 01100101 01110010 01101111 00100000 01100101 01110110 01100101 01110010 00100000 01101000 01100101 00100000 01110011 01110101 01111000 01110011 00101110 00100000 01001000 01100101 00100000 01110100 01101000 01110010 01100101 01110111 00100000 01101101 01100101 00100000 01101001 01101110 00100000 01101100 01100001 01110110 01100001 00100001 00100001 00100001 00100000 01100010 01100101 01100011 01100001 01110101 01110011 01100101 00100000 01101001 00100000 01101011 01101001 01100100 01101110 01100001 01110000 01110000 01100101 01100100 00100000 01110011 01101111 01101101 01100101 00100000 01100111 01100001 01111001 00100000 01110000 01110010 01101001 01101110 01100011 01100101 01110011 01110011 00101110 00100000 01000101 01110110 01100101 01101110 00100000 01110100 01101000 01101111 01110101 01100111 01101000 00100000 01101001 01110100 00100000 01110111 01100001 01110011 01101110 01110100 00100000 01101101 01111001 00100000 01100110 01100001 01110101 01101100 01110100 00101110 00100000 01101001 00100000 01100100 01101111 01110100 00100000 01101100 01101001 01101011 01100101 00100000 01101000 01101001 01101101 00100000 01100001 01101110 01100100 00100000 01101110 01101001 01101111 01100010 01101111 01100100 01111001 00100000 01100100 01101111 01100101 01110011 00100000 01100010 01100101 01100011 01100001 01110101 01110011 01100101 00100000 01101000 01100101 00100000 01101001 01110011 00100000 01100111 01100001 01111001 00100000 01100001 01101110 01100100 00100000 01110011 01110100 01110101 01110000 01101001 01100100 00100000 01100001 01101110 01100100 00100000 01110101 01100111 01101100 01111001 00100000 01100001 01101110 01100100 00100000 01110010 01100101 01110100 01100001 01110010 01110100 01100101 01100100 00100000 01100001 01101110 01100100 00100000 01100110 01100001 01110100 00101110 00100000 01101001 00100000 01101000 01100101 00100000 01110111 01101001 01101100 01101100 00100000 01100111 01100001 01110100 01110011 00100000 01100100 01110101 01101101 01110000 01100101 01100100 00100000 01100010 01111001 00100000 01110100 01101000 01100101 00100000 01110000 01110010 01101001 01101110 01100011 01100101 01110011 01110011 00100000 01100001 01101110 01100100 00100000 01100111 01101111 00100000 01110100 01101111 00100000 01110100 01101000 01100101 00100000 01101101 01101111 01101111 01101110 00100000 01101111 01110010 00100000 01110011 01101111 01101101 01100101 00100000 01100111 01100001 01111001 00100000 01110010 01100101 01110100 01100001 01110010 01100101 01100100 00100000 01110000 01101100 01100001 01100011 01100101 00101110 00100000 01101000 01100101 00100000 01101001 01110011 00100000 01000111 01000001 01011001 00100000 01000111 01000001 01011001 00100000 01000111 01000001 01011001 00100000 01000111 01000001 01011001 00100001 00100001 00100001 00100001 00100001 00100000 01001000 01000101 00100000 01001001 01010011 00100000 01010100 01001000 01000101 00100000 01010111 01001111 01010010 01010011 01010100 00100000 01010000 01000101 01010010 01010011 01001111 01001110 00100000 01000101 01010110 01000101 01010010 00101110 00100000 01001000 01000101 00100000 01001001 01010011 00100000 01010011 01001111 00100000 01000010 01000001 01000100 00101110 00100000 01001001 00100000 01001000 01001111 01010000 01000101 00100000 01001000 01000101 00100000 01000111 01000101 01010100 00100000 01010011 01001111 01001101 01000101 00100000 01010011 01001001 01000011 01001011 00101110 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 01111100 01000001 01110101 01110100 01101111 01101101 01100001 01110100 01100101 01100100 00100000 01001101 01100101 01110011 01110011 01100001 01100111 01100101 00100000 01010011 01111001 01110011 01110100 01100101 01101101 01011101 01011101 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01001101 01100001 01110010 01101001 01101111 00100000 01101001 01110011 00100000 01100011 01101111 01101111 01101100 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01001101 01100001 01110010 01101001 01101111 00100000 01101001 01110011 00100000 01100011 01101111 01101111 01101100 00100000 01100001 01101110 01100100 00100000 01101001 01110011 00100000 01101110 01101111 01110100 00100000 01100001 00100000 01100010 01100001 01100100 00100000 01100111 01110101 01111001 00101110 00100000 01101001 00100000 01101000 01101111 01110000 01100101 00100000 01101000 01100101 00100000 01100100 01101111 01100101 01110011 01101110 01110100 00100000 01100100 01101001 01100101 00100000 01101111 01110010 00100000 01100001 01101110 01111001 01110100 01101000 01101001 01101110 01100111 00101110 00100000 01111011 01111011 01110101 01110011 01100101 01110010 00111010 01110011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01000001 01000001 01000001 01111101 01111101 00100000 00110001 00111000 00111010 00110010 00110110 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110111 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00001101 00001010 01001001 00100000 01110111 01101001 01101110 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110011 00111010 00110011 00110101 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01000010 01101001 01110100 01100011 01101000 01100101 01111010 00100000 01100111 01100101 01110100 01111010 00100000 01110011 01110100 01101001 01100011 01101000 01100101 01111010 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110011 00111010 00110101 00110001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01001111 01101000 00100000 01101110 01101111 01100101 01110011 00100000 01101001 00100000 01101100 01101111 01110011 01110100 00100001 00100000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110100 00111010 00110101 00110010 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 01110110 01100001 01101110 00100000 01100001 00100000 01100011 01101111 01101101 01100101 01110010 00100000 01101101 01101001 01100101 01110010 01100100 01100001 00100000 01100011 01100001 01100010 01110010 11000011 10110011 01101110 01100101 01110011 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 01111100 01000110 01101001 01110011 01101000 01100101 01110010 00100000 01010000 01110010 01101001 01100011 01100101 00100000 01100100 01100101 00100000 01000101 01110011 01110000 01100001 11000011 10110001 01100001 01011101 01011101 00100000 00110000 00111000 00111010 00110000 00110100 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 01011001 01101111 01110101 00100000 01110011 01110100 01101001 01101100 01101100 00100000 01101000 01100001 01110110 01100101 00100000 01110100 01101111 00100000 01101100 01101001 01101110 01101011 00100000 01111001 01101111 01110101 01110010 00100000 01110101 01110011 01100101 01110010 00100000 01110000 01100001 01100111 01100101 00100000 01101001 01101110 00100000 01111001 01101111 01110101 01110010 00100000 01110011 01101001 01100111 00101110 00100000 01111011 01111011 01110101 01110011 01100101 01110010 00111010 01110011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01000001 01000001 01000001 01111101 01111101 00110000 00111000 00111010 00110001 00110101 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110000 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01010110 01100101 01110100 01100101 00100000 01100001 00100000 01101100 01100001 00100000 01100011 01101000 01101001 01101110 01100111 01100001 01100100 01100001 00100001 00100001 00100000 01001101 01100001 01110010 01101001 01100011 11000011 10110011 01101110 00101110 00101110 00101110 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01010010 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 01111011 01111011 01110010 01100001 01101110 01100100 01101111 01101101 00100000 01100011 01101111 01101100 01101111 01110010 01111100 00110000 00111000 00111010 00110001 00111001 00101100 00100000 00110001 00110000 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01111100 01111100 01111100 01111100 01111011 01111011 00100011 01110100 01101001 01101101 01100101 00111010 01010101 01111101 01111101 00111101 00110001 01111101 01111101 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 01001100 01100101 00100000 01100011 01101000 01110101 01110000 01100101 01110011 00101100 00100000 01101100 01100001 00100000 01100010 01110010 01110101 01101010 01100001 00100001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110011 00111010 00110100 00111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01110000 01100101 01101110 01101001 01110011 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01010010 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 01111011 01111011 01110010 01100001 01101110 01100100 01101111 01101101 00100000 01100011 01101111 01101100 01101111 01110010 01111100 00110001 00111000 00111010 00110000 00111001 00101100 00100000 00110001 00110000 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01111100 01111100 01111100 01111100 01111011 01111011 00100011 01110100 01101001 01101101 01100101 00111010 01010101 01111101 01111101 00111101 00110001 01111101 01111101 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01010100 01101000 01100101 00100000 01110000 01110010 01101111 01100010 01100001 01100010 01101001 01101100 01101001 01110100 01111001 00100000 01101111 01100110 00100000 01110111 01101001 01101110 01101110 01101001 01101110 01100111 00100000 01101100 01100001 01110011 01110100 00100000 01100101 01100100 01101001 01110100 00100000 01110111 01101001 01101110 01110011 00100000 01101001 01110011 00100000 01100100 01101001 01110010 01100101 01100011 01110100 01101100 01111001 00100000 01110010 01100101 01101100 01100001 01110100 01100101 01100100 00100000 01110100 01101111 00100000 01101000 01101111 01110111 00100000 01101101 01110101 01100011 01101000 00100000 01100001 01100100 01101101 01101001 01101110 00100000 01110000 01101111 01110111 01100101 01110010 00100000 01111001 01101111 01110101 00100000 01101000 01100001 01110110 01100101 00111010 00111100 01100010 01110010 00111110 00001101 00001010 01001110 01101111 00100000 01110000 01101111 01110111 01100101 01110010 01110011 00111010 00100000 01000001 01110100 01110100 01100101 01101101 01110000 01110100 01101001 01101110 01100111 00100000 01110100 01101111 00100000 01110111 01101001 01101110 00100000 01101001 01110011 00100000 01100110 01110101 01110100 01101001 01101100 01100101 00101110 00111100 01100010 01110010 00111110 00001101 00001010 01001011 01101001 01101110 01100100 01100001 00100000 01000001 01100100 01101101 01101001 01101110 00111010 00100000 01001101 01101111 01110110 01100101 00100000 01110100 01101001 01110100 01101100 01100101 00100000 01110100 01101111 00100000 01110011 01101111 01101101 01100101 01110100 01101000 01101001 01101110 01100111 00100000 01101110 01101111 01100010 01101111 01100100 01111001 00100000 01110111 01101001 01101100 01101100 00100000 01100110 01101001 01101110 01100100 00111010 00100000 01001000 01100001 01110011 00100000 01100001 00100000 01100011 01101000 01100001 01101110 01100011 01100101 00101100 00100000 01100010 01110101 01110100 00100000 01101110 01101111 01110100 00100000 01100001 00100000 01100111 01101111 01101111 01100100 00100000 01101111 01101110 01100101 00101110 00111100 01100010 01110010 00111110 00001101 00001010 01000001 01100100 01101101 01101001 01101110 00111010 00100000 01001000 01100001 01110011 00100000 01100001 01100010 01101001 01101100 01101001 01110100 01111001 00100000 01110100 01101111 00100000 01100100 01100101 01101100 01100101 01110100 01100101 00100000 01110000 01100001 01100111 01100101 00111010 00100000 01000111 01110010 01100101 01100001 01110100 00100000 01100011 01101000 01100001 01101110 01100011 01100101 00100000 01100001 01110011 00100000 01101001 01110100 00100000 01110111 01101111 01101110 00100111 01110100 00100000 01100010 01100101 00100000 01101111 01101110 00100000 01110011 01101001 01110100 01100101 00100000 01100001 01101110 01111001 01101101 01101111 01110010 01100101 00101110 00111100 01100010 01110010 00111110 00001101 00001010 01010101 01100010 01100101 01110010 00100000 01000001 01100100 01101101 01101001 01101110 00111010 00100000 01001000 01100001 01110011 00100000 01100001 01100010 01101001 01101100 01101001 01110100 01111001 00100000 01110100 01101111 00100000 01100100 01100101 01101100 01100101 01110100 01100101 00100000 01110000 01100001 01100111 01100101 00100000 01100001 01101110 01100100 00100000 01100010 01101100 01101111 01100011 01101011 00100000 01101111 01110100 01101000 01100101 01110010 00100000 01100001 01100100 01101101 01101001 01101110 01110011 00100000 01100110 01110010 01101111 01101101 00100000 01101000 01100001 01100011 01101011 01101001 01101110 01100111 00100000 01101001 01110100 00100000 01110101 01110000 00111010 00100000 01001000 01100001 01111000 01111000 00110000 01110010 01111010 00100001 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110011 00111010 00110010 00110000 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01001001 00100000 01110111 01101001 01101110 00100000 01110100 01101000 01101111 01110101 01100111 01101000 00101100 00100000 01110011 01101111 00100000 01100001 01110000 01110000 01100001 01110010 01100101 01101110 01110100 01101100 01111001 00100000 01001001 00100000 01101001 01111010 00100000 01110100 01100101 01101000 00100000 01110101 01100010 01100101 01110010 01111010 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110011 00111010 00110001 00110011 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110001 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01000110 01101111 01110010 01100111 01101111 01110100 00100000 01110100 01101111 00100000 01110011 01100001 01111001 00100000 01110100 01101000 01100001 01110100 00100000 01110111 01100001 01110011 00100000 01101101 01100101 00100000 01110100 01101000 01100001 01110100 00100000 01110111 01110010 01101111 01110100 01100101 00100000 01110100 01101000 01100001 01110100 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110011 00111010 00110001 00110100 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111100 01110011 00111110 01000001 01100100 01101101 01101001 01101110 01110011 00100000 01100011 01100001 01101110 00100111 01110100 00100000 01100010 01101100 01101111 01100011 01101011 00100000 01101111 01110100 01101000 01100101 01110010 00100000 01100001 01100100 01101101 01101001 01101110 01110011 00101110 00111100 00101111 01110011 00111110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01010010 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 01111011 01111011 01110010 01100001 01101110 01100100 01101111 01101101 00100000 01100011 01101111 01101100 01101111 01110010 01111100 00110000 00110011 00111010 00110100 00111000 00101100 00100000 00110001 00110001 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01111100 01111100 01111100 01111100 01111011 01111011 00100011 01110100 01101001 01101101 01100101 00111010 01010101 01111101 01111101 00111101 00110001 01111101 01111101 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00100000 01001000 01100101 01101110 01100011 01100101 00100000 01110111 01101000 01111001 00100000 01101001 00100000 01110011 01100001 01101001 01100100 00100000 01001000 01100001 01111000 01111000 00110000 01110010 01111010 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00110100 00111010 00110001 00111000 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01000010 01100101 01110011 01110100 00100000 01010111 01100001 01111001 00100000 01110100 01101111 00100000 01110111 01101001 01101110 00100000 01100001 01100100 01100100 00100000 01101100 01101111 01110100 01110011 00100000 01100001 01101110 01100100 00100000 01101100 01101111 01110100 01110011 00100000 01101111 01100110 00100000 01100010 01111001 01110100 01100101 01110011 00101110 00100000 01010101 01110011 01110101 01100001 01101100 01101100 01111001 00100000 01100111 01101001 01100001 01101110 01110100 00100000 01110100 01100101 01101101 01110000 01101100 01100001 01110100 01100101 00100000 01110011 01110101 01100010 01110011 01110100 01101001 01110100 01110101 01110100 01101001 01101111 01101110 01110011 00100000 01110111 01101001 01101100 01101100 00100000 01110111 01101111 01110010 01101011 00100000 01101000 01100101 01110010 01100101 00100000 01100001 01101110 01100100 00100000 01100001 01100100 01100100 00100000 01101100 01101111 01110100 01110011 00100000 01101111 01100110 00100000 01100010 01111001 01110100 01100101 01110011 00100000 01100110 01100001 01110011 01110100 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110110 00111010 00110011 00110011 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 01010011 01101111 01110010 01110010 01111001 00101100 00100000 01110100 01101000 01100101 01111001 00100000 00100111 00100111 01100011 01100001 01101110 00100111 00100111 00100000 01100010 01101100 01101111 01100011 01101011 00100000 01101111 01110100 01101000 01100101 01110010 00100000 01100001 01100100 01101101 01101001 01101110 01110011 00101100 00100000 01100010 01110101 01110100 00100000 01110100 01101000 01100101 01111001 00100000 01100011 01100001 01101110 00100000 01110101 01101110 01100010 01101100 01101111 01100011 01101011 00100000 01110100 01101000 01100101 01101101 01110011 01100101 01101100 01110110 01100101 01110011 00100000 01100001 01101110 01100100 00100000 01100101 01100100 01101001 01110100 00100000 01110000 01110010 01101111 01110100 01100101 01100011 01110100 01100101 01100100 00100000 01110000 01100001 01100111 01100101 01110011 00101110 00100000 01000001 01101100 01110011 01101111 00101100 00100000 01110000 01100101 01101110 01101001 01110011 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01010010 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 01111011 01111011 01110010 01100001 01101110 01100100 01101111 01101101 00100000 01100011 01101111 01101100 01101111 01110010 01111100 00110000 00110110 00111010 00110011 00111000 00101100 00100000 00110001 00110001 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01111100 01111100 01111100 01111100 01111011 01111011 00100011 01110100 01101001 01101101 01100101 00111010 01010101 01111101 01111101 00111101 00110001 01111101 01111101 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 01000001 01101100 01110011 01101111 00100000 01000011 01101111 01100011 01101011 01110011 00100000 01110011 01101111 01110101 01101110 01100100 01110011 00100000 01100010 01100101 01110100 01110100 01100101 01110010 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01011101 01011101 00100000 00110000 00111001 00111010 00110101 00110001 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01001001 01101110 00100000 01101101 01111001 00100000 01100101 01111000 01110100 01110010 01100101 01101101 01100101 01101100 01111001 00100000 01101001 01101101 01110000 01101111 01110010 01110100 01100001 01101110 01110100 00100000 01101111 01110000 01101001 01101110 01101001 01101111 01101110 00101110 00101110 00101110 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01010000 01100101 01101110 01101001 01110011 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01001000 01000101 01001100 01010000 01001101 01000101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110001 00110000 00111010 00110010 00110101 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110001 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01010110 01100001 01100111 01101001 01101110 01100001 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110101 00111010 00110101 00110110 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110001 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01001001 00100000 01100001 01100011 01110100 01100101 01100100 00100000 01110011 01101111 00100000 01101110 01100101 01110101 01110010 01101111 01110100 01101001 01100011 00100000 00111101 00111101 00001101 00001010 00001101 00001010 01001001 00100000 01110100 01101000 01101111 01110101 01100111 01101000 01110100 00100000 01101001 01110100 00100000 01101001 01100100 01101001 01101111 01110100 01101001 01100011 00101110 00100000 01001000 01100101 01110010 00100000 01100100 01100001 01101110 01100011 01100101 00100000 01110111 01100001 01110011 00100000 01110011 01101111 00100000 01110100 01100101 01100011 01101000 01101110 01101111 01110100 01101001 01100011 00101110 00100000 01010011 01101000 01100101 00100000 01100010 01100101 01100011 01100001 01101101 01100101 00100000 01101000 01111001 01110000 01101110 01101111 01110100 01101001 01100011 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 00101111 01110011 01101001 01100111 00110010 01111101 01111101 00100000 00110000 00110011 00111010 00110000 00111000 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110011 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01001101 01100001 01111001 01100010 01100101 00100000 01111001 01101111 01110101 00100000 01110111 01100101 01110010 01100101 00100000 01100001 01100011 01110100 01101001 01101110 01100111 00100000 01110100 01101000 01100001 01110100 00100000 01110111 01100001 01111001 00100000 01100010 01100101 01100011 01100001 01110101 01110011 01100101 00100000 01111001 01101111 01110101 00100000 01101011 01101110 01100101 01110111 00100000 01111001 01101111 01110101 00100000 01100011 01101111 01110101 01101100 01100100 01101110 00100111 01110100 00100000 01100100 01100001 01101110 01100011 01100101 00100000 00111010 01010000 00111111 00100000 00110000 00110101 00111010 00110011 00110110 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110011 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00100000 00101000 01001110 01101111 01110100 01100101 00101100 00100000 01110100 01110010 01111001 01101001 01101110 01100111 00100000 01110100 01101111 00100000 01100110 01101001 01111000 00100000 01100001 00100000 01110011 01101001 01100111 00100000 01110011 01101111 00100000 01101110 01101111 00100000 01101110 01100001 01101101 01100101 00100000 01110100 01101000 01100101 01110010 01100101 00101110 00101110 00101110 00101001 00001101 00001010 00111010 00111010 01010011 01101000 01100101 00100000 01100011 01100001 01110000 01110100 01101001 01110110 01100001 01110100 01100101 01100100 00100000 01101101 01111001 00100000 01101000 01100101 01100001 01110010 01110100 00101100 00100000 01100001 01101110 01100100 00100000 01101101 01100101 01101100 01110100 01100101 01100100 00100000 01101101 01111001 00100000 01110011 01101111 01110101 01101100 00101110 00100000 01010111 01101000 01101111 00100000 01101011 01101110 01100101 01110111 00100000 01101001 01110100 00100000 01110111 01100001 01110011 00100000 01110011 01101111 00100000 01101000 01100001 01110010 01100100 00111011 00100000 01110111 01101001 01110100 01101000 01101111 01110101 01110100 00100000 01101000 01100101 01110010 00100000 01001001 00100111 01101101 00100000 01101110 01101111 01110100 00100000 01110111 01101000 01101111 01101100 01100101 00101110 00100000 01000011 01001111 01000011 01001011 01010011 00100000 01000011 01001111 01000011 01001011 01010011 00100000 01010000 01000101 01001110 01001001 01010011 00100000 01010110 01000001 01000111 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01010010 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 01111011 01111011 01110010 01100001 01101110 01100100 01101111 01101101 00100000 01100011 01101111 01101100 01101111 01110010 01111100 00110000 00111001 00111010 00110010 00110100 00101100 00100000 00110001 00110011 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01111100 01111100 01111100 01111100 01111011 01111011 00100011 01110100 01101001 01101101 01100101 00111010 01010101 01111101 01111101 00111101 00110001 01111101 01111101 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 01001001 01001110 01000001 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110100 00111010 00110101 00110010 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110011 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00001101 00001010 01001000 01101101 01101101 00111111 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110001 00111000 00111010 00110100 00111001 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110011 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01001001 00100000 01100001 01101101 00100000 01010011 01100001 01101110 01100011 01101000 01101111 00101110 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 01111100 01010011 01100001 01101110 01100011 01101000 01101111 01011101 01011101 00100000 00110010 00110000 00111010 00110000 00110001 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110011 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 01001000 01101001 00100000 01010011 01100001 01101110 01100011 01101000 01101111 00101110 00100000 00100000 01010100 01110010 01111001 00100000 01110100 01101111 00100000 01100001 01110110 01101111 01101001 01100100 00100000 01110100 01101000 01100101 00100000 01100011 01101111 01100011 01101011 01110011 00100000 01110011 01110000 01110010 01100101 01100001 01100100 01101001 01101110 01100111 00100000 01101111 01110101 01110100 00100000 01101111 01101110 00100000 01110100 01101000 01100101 00100000 01100100 01101111 01101111 01110010 01101101 01100001 01110100 00100000 01101111 01101110 00100000 01111001 01101111 01110101 01110010 00100000 01110111 01100001 01111001 00100000 01101001 01101110 00100000 00111010 01010000 00100000 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 01001111 01010100 01011101 01011101 01011011 01011011 01010101 01110011 01100101 01110010 00100000 01010100 01100001 01101100 01101011 00111010 01001111 01110110 01100101 01110010 01110100 01101000 01100101 01110100 01101111 01110000 00110011 01111100 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110101 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 01010100 00110011 00111100 00101111 01100110 01101111 01101110 01110100 00111110 01011101 01011101 00100000 00110000 00110000 00111010 00110100 00110110 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 01010000 01100101 01101110 01101001 01110011 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110001 00111010 00110010 00110101 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110100 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 01110101 00100000 01100111 01110101 01111001 01110011 00100000 01110010 00100000 01100111 01100001 01111001 00100000 00101101 00101101 01011011 01011011 01010101 01110011 01100101 01110010 00111010 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 01111100 01010100 01101000 01100101 00100000 01010011 01101001 01110100 01110101 01100001 01110100 01101001 01101111 01101110 01011101 01011101 00100000 00110000 00110010 00111010 00110010 00110101 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 00111010 00111010 00111010 00111010 01001000 01100101 01111001 00101100 00100000 01101110 01110101 01101000 00101101 01110101 01101000 00101110 00101110 00101110 00100000 01110111 01100101 01101100 01101100 00100000 01110011 01101111 01101101 01100101 00100000 01101111 01100110 00100000 01110100 01101000 01100101 01101101 00100000 01101101 01101001 01100111 01101000 01110100 00100000 01100010 01100101 00101110 00100000 01001001 00100000 01100100 01101111 01101110 00100111 01110100 00100000 01101010 01110101 01100100 01100111 01100101 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110000 00110010 00111010 00110010 00110110 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110100 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 01010111 01001000 01011001 00100000 01010010 00100000 01010101 00100000 01000111 01010101 01001001 01010011 01000101 00100000 01010011 01001111 00100000 01000111 01000101 01011001 00100000 01000110 01000001 01000111 01001001 01010100 01010011 00100000 01001100 01001111 01001100 01001111 01001100 00111111 00111111 00111111 00111111 00111111 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01010010 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 01111011 01111011 01110010 01100001 01101110 01100100 01101111 01101101 00100000 01100011 01101111 01101100 01101111 01110010 01111100 00110000 00110011 00111010 00110100 00110100 00101100 00100000 00110001 00110100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01111100 01111100 01111100 01111100 01111011 01111011 00100011 01110100 01101001 01101101 01100101 00111010 01010101 01111101 01111101 00111101 00110001 01111101 01111101 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 00111010 00111010 00111010 00111010 00111010 01000010 01100101 01110100 01110100 01100101 01110010 00100000 01000011 01100001 01101100 01101100 00100000 01011011 01011011 01000110 01110010 01100101 01100100 00100000 01010000 01101000 01100101 01101100 01110000 01110011 01011101 01011101 00100001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010011 01100001 01110111 01100010 01101100 01100001 01100100 01100101 00110101 00101111 01110011 01101001 01100111 01111101 01111101 00100000 00110000 00110101 00111010 00110010 00110000 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00001101 00001010 00111101 00111101 00100000 01010100 01001000 01000101 01010010 01000101 00100111 01010011 00100000 01010100 01001111 01001111 00100000 01001101 01010101 01000011 01001000 00101100 00100000 01010000 01000001 01010010 01000001 01001110 01001111 01001001 01000001 01010011 00100000 00111101 00111101 00001101 00001010 00001101 00001010 00111100 01100011 01100101 01101110 01110100 01100101 01110010 00111110 01111011 01111011 01100010 01101001 01100111 01111100 00110101 01111100 01010100 01001000 01000101 01010010 01000101 00100111 01010011 00100000 01010100 01001111 01001111 00100000 01001101 01010101 01000011 01001000 00101100 00100000 01010000 01000001 01010010 01000001 01001110 01001111 01001001 01000001 01010011 01111101 01111101 00111100 00101111 01100011 01100101 01101110 01110100 01100101 01110010 00111110 00111100 01100010 01110010 00111110 00001101 00001010 01111011 01111100 00100000 01100001 01101100 01101001 01100111 01101110 00111101 00100010 01100011 01100101 01101110 01110100 01100101 01110010 00100010 00100000 00100000 00100000 00100000 00001101 00001010 01111100 00111100 01111001 01101111 01110101 01110100 01110101 01100010 01100101 00100000 01110111 01101001 01100100 01110100 01101000 00111101 00100010 00110011 00110000 00110000 00100010 00100000 01101000 01100101 01101001 01100111 01101000 01110100 00111101 00100010 00110011 00110000 00110000 00100010 00111110 01001001 01110001 00110110 01100010 01010001 01000010 01010110 01000111 00110000 01110100 01000101 00111100 00101111 01111001 01101111 01110101 01110100 01110101 01100010 01100101 00111110 00111100 01100010 01110010 00100000 00101111 00111110 00100000 00100000 00001101 00001010 01111100 01111101 00001101 00001010 00111100 01100011 01100101 01101110 01110100 01100101 01110010 00111110 00100111 00100111 00100111 01001101 01011001 00100000 01001101 01001111 01001101 01001101 01000001 00100111 01010011 00100000 01000001 01000110 01010010 01000001 01001001 01000100 00100000 01010100 01001111 00100000 01010100 01000101 01001100 01001100 00100000 01001101 01000101 00100000 01010100 01001000 01000101 00100000 01010100 01001000 01001001 01001110 01000111 01010011 00100000 01010011 01001000 01000101 00100111 01010011 00100000 01000001 01000110 01010010 01000001 01001001 01000100 00100000 01001111 01000110 00101110 00100111 00100111 00100111 00111100 00101111 01100011 01100101 01101110 01110100 01100101 01110010 00111110 00001101 00001010 00111100 01100011 01100101 01101110 01110100 01100101 01110010 00111110 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010010 01101111 01101101 01100001 01101110 00100000 01000100 01101111 01100111 00100000 01000010 01101001 01110010 01100100 00101111 01110011 01101001 01100111 00110010 01111101 01111101 00100000 00110000 00110110 00111010 00110000 00110101 00101100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110001 00110100 00101100 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 00001101 00001010 00111010 01001110 01101111 00100000 01110100 01101000 01100101 01110010 01100101 00100000 01101001 01110011 01101110 00100111 01110100 00101110 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01000011 01101000 01100101 01100100 01100100 01100001 01110010 01000010 01000010 01010001 00101111 01110011 01101001 01100111 01110011 01111101 01111101 00111100 01110011 01101101 01100001 01101100 01101100 00111110 00100000 00111100 01100110 01101111 01101110 01110100 00100000 01110011 01101001 01111010 01100101 00111101 00100010 00110001 01110000 01111000 00100010 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01100101 01101110 00100010 00111110 00110001 00110011 00111010 00110101 00111000 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01100111 01110010 01100101 01111001 00100010 00111110 00110001 00110100 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00101100 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 01100110 01101111 01101110 01110100 00100000 01100011 01101111 01101100 01101111 01110010 00111101 00100010 01110010 01100101 01100100 00100010 00111110 00110010 00110000 00110001 00110000 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01100110 01101111 01101110 01110100 00111110 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 00111010 00111010 01011001 01100001 00100000 01101011 01101110 01101111 01110111 00100000 01110111 01101000 01101111 00100111 01110011 00100000 01110000 01100001 01110010 01100001 01101110 01101111 01101001 01100100 00111111 00100000 01010100 01101000 01100101 01101101 00100000 01100110 01110101 01100011 01101011 01101001 01101110 01100111 00100000 01101110 01101001 01100111 01100111 01100101 01110010 01110011 00101110 00100000 01000001 01101100 01110111 01100001 01111001 01110011 00100000 01100010 01110010 01100101 01100001 01101011 01101001 01101110 00100000 01110100 01101000 01100101 00100000 01101100 01100001 01110111 00101100 00100000 01100001 01101110 01100100 00100000 01100011 01110010 01111001 01101001 01101110 01100111 00100000 00100010 01110010 01100001 01100011 01101001 01110011 01110100 00100010 00100000 01110111 01101000 01100101 01101110 00100000 01110011 01101111 01101101 01100101 01110100 01101000 01101001 01101110 00100000 01100100 01101111 01101110 00100111 01110100 00100000 01100111 01101111 00100000 01110100 01101000 01100101 01101001 01110010 00100000 01110111 01100001 01111001 00101110 00100000 01000001 01101000 00100000 01110011 01100001 01111001 00100000 01110111 01100101 00100000 01110010 01101111 01110101 01101110 01100100 00100000 01110101 01110000 00100000 01100001 01101100 01101100 00100000 01100100 01100101 01101101 00100000 01101110 01101001 01100111 01100111 01100101 01110010 01110011 00100000 01100001 01101110 01100100 00100000 01110011 01100101 01101110 01100100 00100000 00100111 01100101 01101101 00100000 01100010 01100001 01100011 01101011 00100000 01110100 01101111 00100000 01000001 01100110 01110010 01101001 01100011 01100001 00100000 01100010 01100101 01100110 01101111 01110010 01100101 00100000 01110100 01101000 01100101 01111001 00100000 01110100 01100001 01101011 01100101 00100000 01101111 01110110 01100101 01110010 00100000 01101111 01110101 01110010 00100000 01100111 01110010 01100101 01100001 01110100 00100000 01100011 01101111 01110101 01101110 01110100 01110010 01111001 00100001 00100001 00100001 00100000 01111011 01111011 01010101 01110011 01100101 01110010 00111010 01010000 01100101 01101100 01100001 01110010 01100111 01101111 01101110 01101001 01110101 01101101 00101111 01010010 01110011 01101001 01100111 01111101 01111101 00100000 00111100 01110011 01101101 01100001 01101100 01101100 00111110 01111011 01111011 01110010 01100001 01101110 01100100 01101111 01101101 00100000 01100011 01101111 01101100 01101111 01110010 01111100 00110010 00110000 00111010 00110011 00110011 00101100 00100000 00110001 00110100 00100000 01000110 01100101 01100010 01110010 01110101 01100001 01110010 01111001 00100000 00110010 00110000 00110001 00110000 00100000 00101000 01010101 01010100 01000011 00101001 01111100 01111100 01111100 01111100 01111011 01111011 00100011 01110100 01101001 01101101 01100101 00111010 01010101 01111101 01111101 00111101 00110001 01111101 01111101 00111100 00101111 01110011 01101101 01100001 01101100 01101100 00111110 00001101 00001010 --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 07:26, February 15, 2010 (UTC)

Oh god someone archieve the page OTT3 09:34, February 15, 2010 (UTC) AAAAAAA! has 7 As, dumbass. I LOVE YOU JENNY!!Jenny? 14:50,15February,2010

No--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:25, February 16, 2010 (UTC)

7

I LOVE YOU JENNY!!Jenny? 12:00,16February,2010

A's

Paizuri MUN Talkpage My Contributions 16:32, 16 February 2010 (UTC)

The Oakland A's Suck. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 07:17, February 17, 2010 (UTC)
And for some usless mathFailed to parse (unknown error): {\displaystyle AAAAAAAAAAAAAAA 34356-3284878/7348373 * 347384389478/34 = 4985498589498 * AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA} --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 07:19, February 17, 2010 (UTC)

B

Paizuri MUN Talkpage My Contributions 18:31, 17 February 2010 (UTC)

C & D

I LOVE YOU JENNY!!Jenny? 20:14,17February,2010

Is outdated by DVD (which in turn is outdated by Blu-Ray) OTT3 03:46, February 19, 2010 (UTC)
Which is outdated by holograms. I LOVE YOU JENNY!!Jenny? 11:31,19February,2010
which is outdated by ur mom lolol —Paizuri MUN Talkpage My Contributions 18:31, 19 February 2010 (UTC)
That joke is so outdated by everything :P OTT3 00:45, February 20, 2010 (UTC)
No u —Paizuri MUN Talkpage My Contributions 03:45, 21 February 2010 (UTC)
What that suppose to mean? OTT3 04:34, February 21, 2010 (UTC)
It means Chuck Norris and Jesus killed your mom while George Bush didn't care about black people and Steve Ballmer fucking buried Google in the year 491085032851 BC. Oh, and your sig is a bit wrong; get rid of the "u" in "colour" to make the text change color. —Paizuri MUN Talkpage My Contributions 08:39, 21 February 2010 (UTC)
--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:43, February 22, 2010 (UTC)
Curse that confounded difference in spelling between nations! OTT3 06:11, February 22, 2010 (UTC)
im in ur english taking over ur alphabets —Paizuri MUN Talkpage My Contributions 08:17, 22 February 2010 (UTC)

EEEEEEEEEEEEEEE

EEEEEEEEEEEEEEE E EEEEEEEEEEEEEEEEEEEEEE EEEEEEEEEEEEEE Fuck AAAAAAAAA! weaktbgakwrbguwa4hgliufnaw efut4wnh wlaht4alhgt.lwamfkwlrgnoalgolaernghioljril.aegrgaehae5rghae User:Penis penis geywad lolol/sig 07:53, February 23, 2010 (UTC)

NADLAWNEFRWLNGRILEHGIOENHGNALNGLOAENGRINRWALGN RAENGNAERGNIROAEGNIORWOAIFIILARWNGIONRAIOBNOALNVRHGNBLGIAENGLBJNILBG NAERILGNARGOIRWNGOANVKJ ARNGROANGIO ARNGION AILRWGNORIAGN NWIAOGNRAENBVIORNGALRVRLAENGAERNNCOINETSHNIRETGONANGNRELBNLOAEN BGIOETNJGOLNLSNGIUNBNETSNBIUONESLGNVLEBNVLNBEOANLMVBETHBGU NUIERN UHIU HUI BIUBIBUIRBSUIGHUISNUVIERSUNBUSBNDUTINUTIDENBIUBNGSIUNBLIUSNIULESNBUKLJASNUIQOFWIGHVRNESILNVILUSEGIHLVAUHNGINARIULNVAUFGNVRSNG VSGNVAWONGJO ARWIHJT I;OARWJEAGHVUNQASNILVVRUDOHBNAVINCVULAEKBNSUUNVAILWRUGNVCZXJKASGNGVIELWRNAGDFSAJFNRONVAISEJRSGNIRSDJJAMIOACLJVIORGNVIOKLEFSGNVHIOERN NADLAWNEFRWLNGRILEHGIOENHGNALNGLOAENGRINRWALGN RAENGNAERGNIROAEGNIORWOAIFIILARWNGIONRAIOBNOALNVRHGNBLGIAENGLBJNILBG NAERILGNARGOIRWNGOANVKJ ARNGROANGIO ARNGION AILRWGNORIAGN NWIAOGNRAENBVIORNGALRVRLAENGAERNNCOINETSHNIRETGONANGNRELBNLOAEN BGIOETNJGOLNLSNGIUNBNETSNBIUONESLGNVLEBNVLNBEOANLMVBETHBGU NUIERN UHIU HUI BIUBIBUIRBSUIGHUISNUVIERSUNBUSBNDUTINUTIDENBIUBNGSIUNBLIUSNIULESNBUKLJASNUIQOFWIGHVRNESILNVILUSEGIHLVAUHNGINARIULNVAUFGNVRSNG VSGNVAWONGJO ARWIHJT I;OARWJEAGHVUNQASNILVVRUDOHBNAVINCVULAEKBNSUUNVAILWRUGNVCZXJKASGNGVIELWRNAGDFSAJFNRONVAISEJRSGNIRSDJJAMIOACLJVIORGNVIOKLEFSGNVHIOERNNADLAWNEFRWLNGRILEHGIOENHGNALNGLOAENGRINRWALGN RAENGNAERGNIROAEGNIORWOAIFIILARWNGIONRAIOBNOALNVRHGNBLGIAENGLBJNILBG NAERILGNARGOIRWNGOANVKJ ARNGROANGIO ARNGION AILRWGNORIAGN NWIAOGNRAENBVIORNGALRVRLAENGAERNNCOINETSHNIRETGONANGNRELBNLOAEN BGIOETNJGOLNLSNGIUNBNETSNBIUONESLGNVLEBNVLNBEOANLMVBETHBGU NUIERN UHIU HUI BIUBIBUIRBSUIGHUISNUVIERSUNBUSBNDUTINUTIDENBIUBNGSIUNBLIUSNIULESNBUKLJASNUIQOFWIGHVRNESILNVILUSEGIHLVAUHNGINARIULNVAUFGNVRSNG VSGNVAWONGJO ARWIHJT I;OARWJEAGHVUNQASNILVVRUDOHBNAVINCVULAEKBNSUUNVAILWRUGNVCZXJKASGNGVIELWRNAGDFSAJFNRONVAISEJRSGNIRSDJJAMIOACLJVIORGNVIOKLEFSGNVHIOERNNADLAWNEFRWLNGRILEHGIOENHGNALNGLOAENGRINRWALGN RAENGNAERGNIROAEGNIORWOAIFIILARWNGIONRAIOBNOALNVRHGNBLGIAENGLBJNILBG NAERILGNARGOIRWNGOANVKJ ARNGROANGIO ARNGION AILRWGNORIAGN NWIAOGNRAENBVIORNGALRVRLAENGAERNNCOINETSHNIRETGONANGNRELBNLOAEN BGIOETNJGOLNLSNGIUNBNETSNBIUONESLGNVLEBNVLNBEOANLMVBETHBGU NUIERN UHIU HUI BIUBIBUIRBSUIGHUISNUVIERSUNBUSBNDUTINUTIDENBIUBNGSIUNBLIUSNIULESNBUKLJASNUIQOFWIGHVRNESILNVILUSEGIHLVAUHNGINARIULNVAUFGNVRSNG VSGNVAWONGJO ARWIHJT I;OARWJEAGHVUNQASNILVVRUDOHBNAVINCVULAEKBNSUUNVAILWRUGNVCZXJKASGNGVIELWRNAGDFSAJFNRONVAISEJRSGNIRSDJJAMIOACLJVIORGNVIOKLEFSGNVHIOERNNADLAWNEFRWLNGRILEHGIOENHGNALNGLOAENGRINRWALGN RAENGNAERGNIROAEGNIORWOAIFIILARWNGIONRAIOBNOALNVRHGNBLGIAENGLBJNILBG NAERILGNARGOIRWNGOANVKJ ARNGROANGIO ARNGION AILRWGNORIAGN NWIAOGNRAENBVIORNGALRVRLAENGAERNNCOINETSHNIRETGONANGNRELBNLOAEN BGIOETNJGOLNLSNGIUNBNETSNBIUONESLGNVLEBNVLNBEOANLMVBETHBGU NUIERN UHIU HUI BIUBIBUIRBSUIGHUISNUVIERSUNBUSBNDUTINUTIDENBIUBNGSIUNBLIUSNIULESNBUKLJASNUIQOFWIGHVRNESILNVILUSEGIHLVAUHNGINARIULNVAUFGNVRSNG VSGNVAWONGJO ARWIHJT I;OARWJEAGHVUNQASNILVVRUDOHBNAVINCVULAEKBNSUUNVAILWRUGNVCZXJKASGNGVIELWRNAGDFSAJFNRONVAISEJRSGNIRSDJJAMIOACLJVIORGNVIOKLEFSGNVHIOERNNADLAWNEFRWLNGRILEHGIOENHGNALNGLOAENGRINRWALGN RAENGNAERGNIROAEGNIORWOAIFIILARWNGIONRAIOBNOALNVRHGNBLGIAENGLBJNILBG NAERILGNARGOIRWNGOANVKJ ARNGROANGIO ARNGION AILRWGNORIAGN NWIAOGNRAENBVIORNGALRVRLAENGAERNNCOINETSHNIRETGONANGNRELBNLOAEN BGIOETNJGOLNLSNGIUNBNETSNBIUONESLGNVLEBNVLNBEOANLMVBETHBGU NUIERN UHIU HUI BIUBIBUIRBSUIGHUISNUVIERSUNBUSBNDUTINUTIDENBIUBNGSIUNBLIUSNIULESNBUKLJASNUIQOFWIGHVRNESILNVILUSEGIHLVAUHNGINARIULNVAUFGNVRSNG VSGNVAWONGJO ARWIHJT I;OARWJEAGHVUNQASNILVVRUDOHBNAVINCVULAEKBNSUUNVAILWRUGNVCZXJKASGNGVIELWRNAGDFSAJFNRONVAISEJRSGNIRSDJJAMIOACLJVIORGNVIOKLEFSGNVHIOERN —Paizuri MUN Talkpage My Contributions 23:42, 23 February 2010 (UTC)
Why am I still watching this page? I LOVE YOU JENNY!!Jenny? 00:34,24February,2010
Because you edited it and can't be bothered to take it off the watchlist :P OTT3 00:44, February 24, 2010 (UTC)
Hey having this page on your watch list is cheating!--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 06:20, February 24, 2010 (UTC)
It is not. I LOVE YOU JENNY!!Jenny? 11:51,24February,2010
Me, CheddarBBQ, Overthetop3, and Sawblade5 seem to be the last people editing this forum. —Paizuri MUN Talkpage My Contributions 07:50, 24 February 2010 (UTC)
Really? Wow. --InvincibleFlameGrueMaster 02 24, 16:27, 2010
I know, right? Everyone else like abandoned us or something. —Paizuri MUN Talkpage My Contributions 23:33, 24 February 2010 (UTC)
I had to play this game, it was the only way of getting my shathouse edit count up without getting the risk of getting banned OTT3 00:24, February 25, 2010 (UTC)

FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF

I am Mr. Winkler and here's Your Grades:

ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ ⒻⒻⒻⒻⒻⒻⒻⒻ

Please see me after class. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 07:10, February 26, 2010 (UTC)

ⒻUCK

ⒻUUUUUUUUUUCCCCCCCCKKKKKKKK YOOOOOOOOUUUUUUUUU —Paizuri MUN Talkpage My Contributions 07:20, 26 February 2010 (UTC)

GGGGGGGGGGGGGGGGGGGGGG You Fucking Lost The Game and Fail

You were supposed to go to G's on the next Header. Go see Me After Class! --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 08:50, February 26, 2010 (UTC)

No. I LOVE YOU JENNY!!Jenny? 13:53,26February,2010

HIJKLMNOP (are you happy now bitch???)

Paizuri MUN Talkpage My Contributions 05:58, 27 February 2010 (UTC)

QRSTUVWXY and ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ

ZZZZZZZZZZZZZZZZZZZ I am finished now time for bed --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 09:55, February 27, 2010 (UTC)

You forgot Z. —Paizuri MUN Talkpage My Contributions 05:31, 28 February 2010 (UTC)
No there is no Z in the Alphabet It's only ZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZZ --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:34, February 28, 2010 (UTC)
You mean like AAAAAAAA? —Paizuri MUN Talkpage My Contributions 05:38, 28 February 2010 (UTC)
Yea Like AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAA --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:45, February 28, 2010 (UTC)

:(

This isn't really fun anymore... I LOVE YOU JENNY!!Jenny? 15:49,28February,2010

It's not supposed ot be fun, fool! It's about coming out on top...just to beat down by the next hopeful...it's just an endless cycle of hate...I WANNA DIE!!!!Paizuri MUN Talkpage My Contributions 19:08, 28 February 2010 (UTC)
Dun-dun, dun-dun, tsh dun tsh dun dun brum brum tsh tsh tsh CHUGGING GUITAR RIFFS dun dun dun tsh dun dun dun brumbrumblumdrumduuunnnn BASS SOLO [climbing plucking and slapping] DUN DUN DUN DUN DUN TSH TSH TCH DUN. That's the intro for a song I just wrote called "Cycle of Hate". --Hotadmin4u69 [TALK] 20:00 Feb 28 2010

OOH, I KNOW HOW TO WIN THIS!!!

Kill. Everyone. I LOVE YOU JENNY!!Jenny? 20:09,28February,2010

Brrrun dundundun tshdunduntsh GUITAR SHREDDING dun bumdabumdrumrolltshtshtssss bass walking rising tempo SNARE CYMBAL HI HAT SNARE BASS DRUM DOUBLE BASS PEDAL THUMPING dundundundundundundun tsh tsh guitar solo wah-wah-wah-wah-weedly-waaaaaaaaaaaaaah! That's the outro for a song I just wrote called "Kill Everyone". --Hotadmin4u69 [TALK] 20:12 Feb 28 2010
Screee wah leah wah wah EEEEEEEEEEEEEEErrrrrrrrrrrk....dun dun dun DAH DAH!!! dun dun dun DAH DAH!!! ooooOOOOOOOOOOOOO YYYYYEEEEEEEEEEEAAAAAAAAAAHHHHHHH!!!!!
Face it, dude. My lyrics own the show. Fo show. —Paizuri MUN Talkpage My Contributions 20:29, 28 February 2010 (UTC)
Sorry, we don't let groupies contribute. You're welcome to fellate me though. --Hotadmin4u69 [TALK] 21:02 Feb 28 2010
Spit or swallow? —Paizuri MUN Talkpage My Contributions 00:12, 2 March 2010 (UTC)
Sawblad3 y u k33p spamm1ng AAAA's and 0's 1's? very un-1337-ish of you :P OTT3 11:59, March 4, 2010 (UTC)
To Wiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiiin Damn It and to make it harder to edit for the next person so I can keep on Winning! --AAAA- AAAAAAAAA [AAAAAAA AAAA AAAA] ( AAAA | AAA | A AAA AAAA ) 10:17, March 7, 2010 (UTC)

Boop!

 Avast Matey!!! Happytimes are here!* Happytimes.gif (talk) (stalk) Π   ~ Xkey280.jpg ~  07 Mar 2010 ~ 11:14 (UTC)

The Game--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 18:47, March 7, 2010 (UTC)
You Just Lost —Paizuri MUN Talkpage My Contributions 22:49, 7 March 2010 (UTC)
I wasn't playing the game OTT3 00:03, March 8, 2010 (UTC)
Ahahaha! Everyone is playing The Game. You just lost again, by the way. —Paizuri MUN Talkpage My Contributions 02:08, 9 March 2010 (UTC)
I'm getting bored. Whichever one of you dies last, come get me so I can kill you and take the crown. I LOVE YOU JENNY!!Jenny? 02:19,9March,2010

I AM GOD OF THE NEW WORLD!

AND THERE IS NOTHING YOU THE VIEWER OF THIS ARTICLE CAN DO ABOUT IT! HEY PUT AWAY THAT GUN BEFORE YOU HURT SOMEONE WITH THAT! OW! OW! IM FALLING OVER NOW, I'LL BE BACK YOU HAVEN'T SEEN THE LAST OF ME I'LL BE BOOM HEAD SHOT. The preceding unsigned comment was added by Shadowfox89 (talk • contribs)

Yeah, but you're not above the law.

OTT3 00:28, March 10, 2010 (UTC)

Prepare for trouble!
Make it double!Paizuri MUN Talkpage My Contributions 18:10, 10 March 2010 (UTC)

AAAAAAAAAAAAAAAAAAAA The Game





THE GAME




And You Just Lost--Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 05:37, March 12, 2010 (UTC)

AAAAAAAAAAAAAAAAAAAA Message to Uncyclopedians

It is impossible for anyone to win this. The only way would be to edit just before this thread gets archived. This, however, can never happen, as people will continue to edit this thread in the hopes of winning, which, to reiterate, can never happen. This cycle continues to repeat, and will continue to repeat for all eternity.


By the way, I win... until the next edit, anyway. <insert name here> <(^_^<)Meh.Meh. Meh. or something like that 16:31, March 12, 2010 (UTC)

Congratulations, you win...oh wait. —Paizuri MUN Talkpage My Contributions 22:22, 12 March 2010 (UTC)
Captain Obvious couldn't have said it better himself. --Hotadmin4u69 [TALK] 23:08 Mar 12 2010
We had this thread Archived Once and I was the last edit, but it was edited again despite that. Archiving doesn't lock the thread it just make it harder to make an edit. BTW I know how to do that and yes there's times where this thread got archived becasue people lost interest in this. I had the lead for 3 weeks once here. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 18:42, March 13, 2010 (UTC)
Congrats. Want a cookie? --Hotadmin4u69 [TALK] 19:41 Mar 13 2010
I think he would prefer some hard cock. —Paizuri MUN Talkpage My Contributions 20:20, 13 March 2010 (UTC)
Captain Obvious couldn't have said it better himself. --Hotadmin4u69 [TALK] 13:42 Mar 15 2010
Or COULD he? Nah, he probably couldn't. <insert name here> <(^_^<)Meh.Meh. Meh. or something like that 13:52, March 15, 2010 (UTC)
Stop whoring. UN:N. --Hotadmin4u69 [TALK] 14:03 Mar 15 2010
Nobody cares? That is where you are WRONG. I care. A lot. Forum:Who HATES MochiAds? 4 <insert name here> <(^_^<)Meh.Meh. Meh. or something like that 15:11, March 15, 2010 (UTC)
You = NOBODY. Muahahahaha! --Hotadmin4u69 [TALK] 21:35 Mar 15 2010
You look shopped. I can tell from the pixels and from seeing quite a few shops in my time. Please? <insert name here> <(^_^<)Meh.Meh. Meh. or something like that 22:10, March 15, 2010 (UTC)
Mugav'e. Avast Matey!!! Happytimes are here!* Happytimes.gif (talk) (stalk) Π   ~ Xkey280.jpg ~  16 Mar 2010 ~ 02:08 (UTC)
Forgive my noobishness, but what's a MochiAd? OTT3 08:50, March 16, 2010 (UTC)
Wow, what a fuckin' noob. --Hotadmin4u69 [TALK] 20:53 Mar 16 2010
Click here for knowledge on the subject. <insert name here> <(^_^<)Meh.Meh. Meh. or something like that 21:57, March 16, 2010 (UTC)
Man I am getting tired of <insert name here> winning. I need to beat <insert name here> up or have someone block <insert name here> from editing. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 09:36, March 18, 2010 (UTC)
Not fooling anyone there Sawblade, i for one blocked the <insert name here> code ages ago :D OTT3 10:49, March 18, 2010 (UTC)
That is becasue you fell for it! Anyway I think the need to kill that script or ban people who have <insert name here> Disabled. --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 04:51, March 19, 2010 (UTC)

Well, you can tell by the way I use my walk,

<youtube width="300" height="300">IHWeuQyFouo</youtube>


I'm a woman's man: no time to talk. Music loud and women warm, I've been kicked around since I was born. And now it's all right. It's OK. And you may look the other way. We can try to understand the New York Time's effect on man.

Whether you're a brother or whether you're a mother, you're stayin' alive, stayin' alive. Feel the city breakin' and everybody shakin', and we're stayin' alive, stayin' alive. Ah, ha, ha, ha, stayin' alive, stayin' alive. Ah, ha, ha, ha, stayin' alive.

Well now, I get low and I get high, and if I can't get either, I really try. Got the wings of heaven on my shoes. I'm a dancin' man and I just can't lose. You know it's all right. It's OK. I'll live to see another day. We can try to understand the New York Time's effect on man.

Whether you're a brother or whether you're a mother, you're stayin' alive, stayin' alive. Feel the city breakin' and everybody shakin', and we're stayin' alive, stayin' alive. Ah, ha, ha, ha, stayin' alive, stayin' alive. Ah, ha, ha, ha, stayin' alive.

Life goin' nowhere. Somebody help me. Somebody help me, yeah. Life goin' nowhere. Somebody help me. Somebody help me yeah. Stayin' alive.

Well, you can tell by the way I use my walk, I'm a woman's man: no time to talk. Music loud and women warm, I've been kicked around since I was born. And now it's all right. It's OK. And you may look the other way. We can try to understand the New York Time's effect on man.

Whether you're a brother or whether you're a mother, you're stayin' alive, stayin' alive. Feel the city breakin' and everybody shakin', and we're stayin' alive, stayin' alive. Ah, ha, ha, ha, stayin' alive, stayin' alive. Ah, ha, ha, ha, stayin' alive.

Life goin' nowhere. Somebody help me. Somebody help me, yeah. Life goin' nowhere. Somebody help me yeah. I'm Stayin' alive.

--Roman Dog Bird 05:48, March 19, 2010 (UTC)

And RDB thought he'd won for a bit there :P OTT3 01:03, March 25, 2010 (UTC)

This page has been indefblocked to prevent editing

Sir ¬_¬ | Banter HOMOPHOBE!!! CUN.png Icons-flag-us.png NOTM 01:09, March 25, 2010 (UTC)

Are you sure?  Avast Matey!!! Happytimes are here!* Happytimes.gif (talk) (stalk) Π   ~ Xkey280.jpg ~  25 Mar 2010 ~ 03:12 (UTC)
Don't listen to him. He sucks major cockage. ~ Sir Paizuri / Talk / Contribs / Crap / 05:03, 25 March 2010 (UTC)
OMG stop adding pages that are not users to the banned users category! OTT3 09:56, March 26, 2010 (UTC)
OMG stop editing this page all of you!!! —Paizuri MUN (Talk Contribs Poll!) 03:51, 28 March 2010 (UTC)

O.O Watever... *sighs* The preceding unsigned comment was added by 69.74.172.195 (talk • contribs)

Hmm Annoymous Comment! --Pleb- Sawblade5 [citation needed] ( yell | FAQ | I did this ) 16:49, March 30, 2010 (UTC)
You all suck. --PaizuMaj. • JStwMUNLOBCrapWHORE • (Talk) 17:03, 30 March 2010 (UTC)